xref: /XiangShan/src/main/scala/xiangshan/XSTileWrap.scala (revision 814aa9ec6f137d36a5efd6cf61fb0833cf156a4a)
18537b88aSTang Haojin/***************************************************************************************
26dd2cbeeSTang Haojin* Copyright (c) 2024-2025 Beijing Institute of Open Source Chip (BOSC)
36dd2cbeeSTang Haojin* Copyright (c) 2024-2025 Institute of Computing Technology, Chinese Academy of Sciences
48537b88aSTang Haojin*
58537b88aSTang Haojin* XiangShan is licensed under Mulan PSL v2.
68537b88aSTang Haojin* You can use this software according to the terms and conditions of the Mulan PSL v2.
78537b88aSTang Haojin* You may obtain a copy of Mulan PSL v2 at:
88537b88aSTang Haojin*          http://license.coscl.org.cn/MulanPSL2
98537b88aSTang Haojin*
108537b88aSTang Haojin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
118537b88aSTang Haojin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
128537b88aSTang Haojin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
138537b88aSTang Haojin*
148537b88aSTang Haojin* See the Mulan PSL v2 for more details.
158537b88aSTang Haojin***************************************************************************************/
168537b88aSTang Haojin
178537b88aSTang Haojinpackage xiangshan
188537b88aSTang Haojin
198537b88aSTang Haojinimport chisel3._
208537b88aSTang Haojinimport chisel3.util._
218537b88aSTang Haojinimport org.chipsalliance.cde.config._
228537b88aSTang Haojinimport freechips.rocketchip.diplomacy._
238537b88aSTang Haojinimport freechips.rocketchip.interrupts._
244a699e27Szhanglinjuanimport freechips.rocketchip.tilelink._
258537b88aSTang Haojinimport freechips.rocketchip.util._
268537b88aSTang Haojinimport system.HasSoCParameter
274b2c87baS梁森 Liang Senimport coupledL2.tl2chi.{AsyncPortIO, CHIAsyncBridgeSource, PortIO}
2830f35717Scz4eimport utility.sram.SramBroadcastBundle
294b2c87baS梁森 Liang Senimport utility.{DFTResetSignals, IntBuffer, ResetGen}
30725e8ddcSchengguanghuiimport xiangshan.backend.trace.TraceCoreInterface
31*814aa9ecSyulightenyuimport utils.PowerSwitchBuffer
328537b88aSTang Haojin
338537b88aSTang Haojin// This module is used for XSNoCTop for async time domain and divide different
348537b88aSTang Haojin// voltage domain. Everything in this module should be in the core clock domain
358537b88aSTang Haojin// and higher voltage domain.
368537b88aSTang Haojinclass XSTileWrap()(implicit p: Parameters) extends LazyModule
378537b88aSTang Haojin  with HasXSParameter
388537b88aSTang Haojin  with HasSoCParameter
398537b88aSTang Haojin{
408537b88aSTang Haojin  override def shouldBeInlined: Boolean = false
418537b88aSTang Haojin
428537b88aSTang Haojin  val tile = LazyModule(new XSTile())
438537b88aSTang Haojin
448537b88aSTang Haojin  // interrupts sync
458537b88aSTang Haojin  val clintIntNode = IntIdentityNode()
468537b88aSTang Haojin  val debugIntNode = IntIdentityNode()
478537b88aSTang Haojin  val plicIntNode = IntIdentityNode()
487ff4ebdcSTang Haojin  val beuIntNode = IntIdentityNode()
498bc90631SZehao Liu  val nmiIntNode = IntIdentityNode()
507ff4ebdcSTang Haojin  tile.clint_int_node := IntBuffer(3, cdc = true) := clintIntNode
517ff4ebdcSTang Haojin  tile.debug_int_node := IntBuffer(3, cdc = true) := debugIntNode
527ff4ebdcSTang Haojin  tile.plic_int_node :*= IntBuffer(3, cdc = true) :*= plicIntNode
538bc90631SZehao Liu  tile.nmi_int_node := IntBuffer(3, cdc = true) := nmiIntNode
547ff4ebdcSTang Haojin  beuIntNode := IntBuffer() := tile.beu_int_source
554a699e27Szhanglinjuan
5616ae9ddcSTang Haojin  // seperate TL bus
5716ae9ddcSTang Haojin  println(s"SeperateTLBus = $SeperateTLBus")
5816ae9ddcSTang Haojin  println(s"EnableSeperateTLAsync = $EnableSeperateTLAsync")
594a699e27Szhanglinjuan  // asynchronous bridge source node
6016ae9ddcSTang Haojin  val tlAsyncSourceOpt = Option.when(SeperateTLBus && EnableSeperateTLAsync)(LazyModule(new TLAsyncCrossingSource()))
6116ae9ddcSTang Haojin  tlAsyncSourceOpt.foreach(_.node := tile.sep_tl_opt.get)
624a699e27Szhanglinjuan  // synchronous source node
6316ae9ddcSTang Haojin  val tlSyncSourceOpt = Option.when(SeperateTLBus && !EnableSeperateTLAsync)(TLTempNode())
6416ae9ddcSTang Haojin  tlSyncSourceOpt.foreach(_ := tile.sep_tl_opt.get)
654a699e27Szhanglinjuan
667ff4ebdcSTang Haojin  class XSTileWrapImp(wrapper: LazyModule) extends LazyRawModuleImp(wrapper) {
677ff4ebdcSTang Haojin    val clock = IO(Input(Clock()))
687ff4ebdcSTang Haojin    val reset = IO(Input(AsyncReset()))
697ff4ebdcSTang Haojin    val noc_reset = EnableCHIAsyncBridge.map(_ => IO(Input(AsyncReset())))
707ff4ebdcSTang Haojin    val soc_reset = IO(Input(AsyncReset()))
718537b88aSTang Haojin    val io = IO(new Bundle {
728537b88aSTang Haojin      val hartId = Input(UInt(hartIdLen.W))
738cfc24b2STang Haojin      val msiInfo = Input(ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W)))
748cfc24b2STang Haojin      val msiAck = Output(Bool())
758537b88aSTang Haojin      val reset_vector = Input(UInt(PAddrBits.W))
768537b88aSTang Haojin      val cpu_halt = Output(Bool())
7785a8d7caSZehao Liu      val cpu_crtical_error = Output(Bool())
783a3744e4Schengguanghui      val hartResetReq = Input(Bool())
79b30cb8bfSGuanghui Cheng      val hartIsInReset = Output(Bool())
80725e8ddcSchengguanghui      val traceCoreInterface = new TraceCoreInterface
818537b88aSTang Haojin      val debugTopDown = new Bundle {
828537b88aSTang Haojin        val robHeadPaddr = Valid(UInt(PAddrBits.W))
838537b88aSTang Haojin        val l3MissMatch = Input(Bool())
848537b88aSTang Haojin      }
85e836c770SZhaoyang You      val l3Miss = Input(Bool())
86e2725c9eSzhanglinjuan      val chi = EnableCHIAsyncBridge match {
877ff4ebdcSTang Haojin        case Some(param) => new AsyncPortIO(param)
887ff4ebdcSTang Haojin        case None => new PortIO
89e2725c9eSzhanglinjuan      }
908537b88aSTang Haojin      val nodeID = if (enableCHI) Some(Input(UInt(NodeIDWidth.W))) else None
91e2725c9eSzhanglinjuan      val clintTime = EnableClintAsyncBridge match {
927ff4ebdcSTang Haojin        case Some(param) => Flipped(new AsyncBundle(UInt(64.W), param))
937ff4ebdcSTang Haojin        case None => Input(ValidIO(UInt(64.W)))
94e2725c9eSzhanglinjuan      }
9530f35717Scz4e      val dft = Option.when(hasDFT)(Input(new SramBroadcastBundle))
9630f35717Scz4e      val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals()))
974d7fbe77Syulightenyu      val l2_flush_en = Option.when(EnablePowerDown) (Output(Bool()))
984d7fbe77Syulightenyu      val l2_flush_done = Option.when(EnablePowerDown) (Output(Bool()))
994d7fbe77Syulightenyu      val pwrdown_req_n = Option.when(EnablePowerDown) (Input (Bool()))
1004d7fbe77Syulightenyu      val pwrdown_ack_n = Option.when(EnablePowerDown) (Output (Bool()))
1014d7fbe77Syulightenyu      val iso_en = Option.when(EnablePowerDown) (Input (Bool()))
1028537b88aSTang Haojin    })
1038537b88aSTang Haojin
10430f35717Scz4e    val reset_sync = withClockAndReset(clock, (reset.asBool || io.hartResetReq).asAsyncReset)(ResetGen(2, io.dft_reset))
10530f35717Scz4e    val noc_reset_sync = EnableCHIAsyncBridge.map(_ => withClockAndReset(clock, noc_reset.get)(ResetGen(2, io.dft_reset)))
10630f35717Scz4e    val soc_reset_sync = withClockAndReset(clock, soc_reset)(ResetGen(2, io.dft_reset))
1077ff4ebdcSTang Haojin
1087ff4ebdcSTang Haojin    // override LazyRawModuleImp's clock and reset
1097ff4ebdcSTang Haojin    childClock := clock
1107ff4ebdcSTang Haojin    childReset := reset_sync
1117ff4ebdcSTang Haojin
1128537b88aSTang Haojin    tile.module.io.hartId := io.hartId
1136dd2cbeeSTang Haojin    tile.module.io.msiInfo := io.msiInfo
1148537b88aSTang Haojin    tile.module.io.reset_vector := io.reset_vector
11530f35717Scz4e    tile.module.io.dft.zip(io.dft).foreach({ case (a, b) => a := b })
11630f35717Scz4e    tile.module.io.dft_reset.zip(io.dft_reset).foreach({ case (a, b) => a := b })
1178537b88aSTang Haojin    io.cpu_halt := tile.module.io.cpu_halt
11885a8d7caSZehao Liu    io.cpu_crtical_error := tile.module.io.cpu_crtical_error
1198cfc24b2STang Haojin    io.msiAck := tile.module.io.msiAck
120b30cb8bfSGuanghui Cheng    io.hartIsInReset := tile.module.io.hartIsInReset
121725e8ddcSchengguanghui    io.traceCoreInterface <> tile.module.io.traceCoreInterface
1228537b88aSTang Haojin    io.debugTopDown <> tile.module.io.debugTopDown
123e836c770SZhaoyang You    tile.module.io.l3Miss := io.l3Miss
1248537b88aSTang Haojin    tile.module.io.nodeID.foreach(_ := io.nodeID.get)
1254d7fbe77Syulightenyu    io.l2_flush_en.foreach { _ := tile.module.io.l2_flush_en.getOrElse(false.B) }
1264d7fbe77Syulightenyu    io.l2_flush_done.foreach { _ := tile.module.io.l2_flush_done.getOrElse(false.B) }
127*814aa9ecSyulightenyu    io.pwrdown_ack_n.foreach { _ := DontCare }
128*814aa9ecSyulightenyu    io.pwrdown_ack_n zip io.pwrdown_req_n foreach { case (ack, req) =>
129*814aa9ecSyulightenyu      val powerSwitchBuffer = Module(new PowerSwitchBuffer)
130*814aa9ecSyulightenyu      ack := powerSwitchBuffer.ack
131*814aa9ecSyulightenyu      powerSwitchBuffer.sleep := req
132*814aa9ecSyulightenyu    }
1338537b88aSTang Haojin
1348537b88aSTang Haojin    // CLINT Async Queue Sink
135e2725c9eSzhanglinjuan    EnableClintAsyncBridge match {
136e2725c9eSzhanglinjuan      case Some(param) =>
1377ff4ebdcSTang Haojin        val sink = withClockAndReset(clock, soc_reset_sync)(Module(new AsyncQueueSink(UInt(64.W), param)))
1387ff4ebdcSTang Haojin        sink.io.async <> io.clintTime
139e2725c9eSzhanglinjuan        sink.io.deq.ready := true.B
140e2725c9eSzhanglinjuan        tile.module.io.clintTime.valid := sink.io.deq.valid
141e2725c9eSzhanglinjuan        tile.module.io.clintTime.bits := sink.io.deq.bits
142e2725c9eSzhanglinjuan      case None =>
1437ff4ebdcSTang Haojin        tile.module.io.clintTime := io.clintTime
144e2725c9eSzhanglinjuan    }
1458537b88aSTang Haojin
1468537b88aSTang Haojin    // CHI Async Queue Source
147e2725c9eSzhanglinjuan    EnableCHIAsyncBridge match {
148e2725c9eSzhanglinjuan      case Some(param) =>
1497ff4ebdcSTang Haojin        val source = withClockAndReset(clock, noc_reset_sync.get)(Module(new CHIAsyncBridgeSource(param)))
150e2725c9eSzhanglinjuan        source.io.enq <> tile.module.io.chi.get
1517ff4ebdcSTang Haojin        io.chi <> source.io.async
152e2725c9eSzhanglinjuan      case None =>
153e2725c9eSzhanglinjuan        require(enableCHI)
1547ff4ebdcSTang Haojin        io.chi <> tile.module.io.chi.get
1558537b88aSTang Haojin    }
1568537b88aSTang Haojin
1574a699e27Szhanglinjuan    // Seperate DebugModule TL Async Queue Source
15816ae9ddcSTang Haojin    if (SeperateTLBus && EnableSeperateTLAsync) {
15916ae9ddcSTang Haojin      tlAsyncSourceOpt.get.module.clock := clock
16016ae9ddcSTang Haojin      tlAsyncSourceOpt.get.module.reset := soc_reset_sync
1614a699e27Szhanglinjuan    }
1624a699e27Szhanglinjuan
1637ff4ebdcSTang Haojin    withClockAndReset(clock, reset_sync) {
1647ff4ebdcSTang Haojin      // Modules are reset one by one
1657ff4ebdcSTang Haojin      // reset ----> SYNC --> XSTile
1667ff4ebdcSTang Haojin      val resetChain = Seq(Seq(tile.module))
16730f35717Scz4e      ResetGen(resetChain, reset_sync, !debugOpts.FPGAPlatform, io.dft_reset)
1687ff4ebdcSTang Haojin    }
1698537b88aSTang Haojin    dontTouch(io.hartId)
1708537b88aSTang Haojin    dontTouch(io.msiInfo)
171*814aa9ecSyulightenyu    io.pwrdown_req_n.foreach(dontTouch(_))
172*814aa9ecSyulightenyu    io.pwrdown_ack_n.foreach(dontTouch(_))
173*814aa9ecSyulightenyu    io.iso_en.foreach(dontTouch(_))
1748537b88aSTang Haojin  }
1758537b88aSTang Haojin  lazy val module = new XSTileWrapImp(this)
1768537b88aSTang Haojin}
177