xref: /XiangShan/src/main/scala/xiangshan/backend/CtrlBlock.scala (revision a3fe955f74cbccdabd470e29ff58d4077b066d22)
124519898SXuan Hu/***************************************************************************************
224519898SXuan Hu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
324519898SXuan Hu* Copyright (c) 2020-2021 Peng Cheng Laboratory
424519898SXuan Hu*
524519898SXuan Hu* XiangShan is licensed under Mulan PSL v2.
624519898SXuan Hu* You can use this software according to the terms and conditions of the Mulan PSL v2.
724519898SXuan Hu* You may obtain a copy of Mulan PSL v2 at:
824519898SXuan Hu*          http://license.coscl.org.cn/MulanPSL2
924519898SXuan Hu*
1024519898SXuan Hu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1124519898SXuan Hu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1224519898SXuan Hu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1324519898SXuan Hu*
1424519898SXuan Hu* See the Mulan PSL v2 for more details.
1524519898SXuan Hu***************************************************************************************/
1624519898SXuan Hu
1724519898SXuan Hupackage xiangshan.backend
1824519898SXuan Hu
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
2024519898SXuan Huimport chisel3._
2124519898SXuan Huimport chisel3.util._
2224519898SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
2324519898SXuan Huimport utility._
2424519898SXuan Huimport utils._
2524519898SXuan Huimport xiangshan.ExceptionNO._
2624519898SXuan Huimport xiangshan._
27d19fa3e9Sxiaofeibao-xjtuimport xiangshan.backend.Bundles.{DecodedInst, DynInst, ExceptionInfo, ExuOutput, StaticInst}
282326221cSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfoBundle, LsTopdownInfo, MemCtrl, RedirectGenerator}
2924519898SXuan Huimport xiangshan.backend.datapath.DataConfig.VAddrData
3024519898SXuan Huimport xiangshan.backend.decode.{DecodeStage, FusionDecoder}
3183ba63b3SXuan Huimport xiangshan.backend.dispatch.{CoreDispatchTopDownIO, Dispatch, DispatchQueue}
3224519898SXuan Huimport xiangshan.backend.fu.PFEvent
335110577fSZiyue Zhangimport xiangshan.backend.fu.vector.Bundles.{VType, Vl}
3415ed99a7SXuan Huimport xiangshan.backend.fu.wrapper.CSRToDecode
35870f462dSXuan Huimport xiangshan.backend.rename.{Rename, RenameTableWrapper, SnapshotGenerator}
3683ba63b3SXuan Huimport xiangshan.backend.rob.{Rob, RobCSRIO, RobCoreTopDownIO, RobDebugRollingIO, RobLsqIO, RobPtr}
376ce10964SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead, Ftq_RF_Components}
386ce10964SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO}
3915ed99a7SXuan Huimport xiangshan.backend.issue.{FpScheduler, IntScheduler, MemScheduler, VfScheduler}
4024519898SXuan Hu
4124519898SXuan Huclass CtrlToFtqIO(implicit p: Parameters) extends XSBundle {
4224519898SXuan Hu  val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo))
4324519898SXuan Hu  val redirect = Valid(new Redirect)
449342624fSGao-Zeyu  val ftqIdxAhead = Vec(BackendRedirectNum, Valid(new FtqPtr))
459342624fSGao-Zeyu  val ftqIdxSelOH = Valid(UInt((BackendRedirectNum).W))
4624519898SXuan Hu}
4724519898SXuan Hu
4824519898SXuan Huclass CtrlBlock(params: BackendParams)(implicit p: Parameters) extends LazyModule {
491ca4a39dSXuan Hu  override def shouldBeInlined: Boolean = false
501ca4a39dSXuan Hu
5124519898SXuan Hu  val rob = LazyModule(new Rob(params))
5224519898SXuan Hu
5324519898SXuan Hu  lazy val module = new CtrlBlockImp(this)(p, params)
5424519898SXuan Hu
556f483f86SXuan Hu  val gpaMem = LazyModule(new GPAMem())
5624519898SXuan Hu}
5724519898SXuan Hu
5824519898SXuan Huclass CtrlBlockImp(
5924519898SXuan Hu  override val wrapper: CtrlBlock
6024519898SXuan Hu)(implicit
6124519898SXuan Hu  p: Parameters,
6224519898SXuan Hu  params: BackendParams
6324519898SXuan Hu) extends LazyModuleImp(wrapper)
6424519898SXuan Hu  with HasXSParameter
6524519898SXuan Hu  with HasCircularQueuePtrHelper
6624519898SXuan Hu  with HasPerfEvents
6724519898SXuan Hu{
6824519898SXuan Hu  val pcMemRdIndexes = new NamedIndexes(Seq(
6924519898SXuan Hu    "redirect"  -> 1,
7024519898SXuan Hu    "memPred"   -> 1,
7124519898SXuan Hu    "robFlush"  -> 1,
7224519898SXuan Hu    "load"      -> params.LduCnt,
73b133b458SXuan Hu    "hybrid"    -> params.HyuCnt,
7483ba63b3SXuan Hu    "store"     -> (if(EnableStorePrefetchSMS) params.StaCnt else 0)
7524519898SXuan Hu  ))
7624519898SXuan Hu
7724519898SXuan Hu  private val numPcMemReadForExu = params.numPcReadPort
7824519898SXuan Hu  private val numPcMemRead = pcMemRdIndexes.maxIdx
7924519898SXuan Hu
8029dbac5aSsinsanction  // now pcMem read for exu is moved to PcTargetMem (OG0)
8124519898SXuan Hu  println(s"pcMem read num: $numPcMemRead")
8224519898SXuan Hu  println(s"pcMem read num for exu: $numPcMemReadForExu")
8324519898SXuan Hu
8424519898SXuan Hu  val io = IO(new CtrlBlockIO())
8524519898SXuan Hu
866f483f86SXuan Hu  val gpaMem = wrapper.gpaMem.module
8724519898SXuan Hu  val decode = Module(new DecodeStage)
8824519898SXuan Hu  val fusionDecoder = Module(new FusionDecoder)
8924519898SXuan Hu  val rat = Module(new RenameTableWrapper)
9024519898SXuan Hu  val rename = Module(new Rename)
9124519898SXuan Hu  val dispatch = Module(new Dispatch)
92c1e19666Sxiaofeibao-xjtu  val intDq0 = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth/2, dqIndex = 0))
93c1e19666Sxiaofeibao-xjtu  val intDq1 = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth/2, dqIndex = 1))
9460f0c5aeSxiaofeibao  val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.VecDqDeqWidth))
9560f0c5aeSxiaofeibao  val vecDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.VecDqDeqWidth))
9624519898SXuan Hu  val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth))
9724519898SXuan Hu  val redirectGen = Module(new RedirectGenerator)
989477429fSsinceforYy  private def hasRen: Boolean = true
999477429fSsinceforYy  private val pcMem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, numPcMemRead, 1, "BackendPC", hasRen = hasRen))
10024519898SXuan Hu  private val rob = wrapper.rob.module
10124519898SXuan Hu  private val memCtrl = Module(new MemCtrl(params))
10224519898SXuan Hu
10324519898SXuan Hu  private val disableFusion = decode.io.csrCtrl.singlestep || !decode.io.csrCtrl.fusion_enable
10424519898SXuan Hu
10524519898SXuan Hu  private val s0_robFlushRedirect = rob.io.flushOut
10624519898SXuan Hu  private val s1_robFlushRedirect = Wire(Valid(new Redirect))
1075f8b6c9eSsinceforYy  s1_robFlushRedirect.valid := GatedValidRegNext(s0_robFlushRedirect.valid, false.B)
10824519898SXuan Hu  s1_robFlushRedirect.bits := RegEnable(s0_robFlushRedirect.bits, s0_robFlushRedirect.valid)
10924519898SXuan Hu
1109477429fSsinceforYy  pcMem.io.ren.get(pcMemRdIndexes("robFlush").head) := s0_robFlushRedirect.valid
11124519898SXuan Hu  pcMem.io.raddr(pcMemRdIndexes("robFlush").head) := s0_robFlushRedirect.bits.ftqIdx.value
112b1e92023SsinceforYy  private val s1_robFlushPc = pcMem.io.rdata(pcMemRdIndexes("robFlush").head).getPc(RegEnable(s0_robFlushRedirect.bits.ftqOffset, s0_robFlushRedirect.valid))
11324519898SXuan Hu  private val s3_redirectGen = redirectGen.io.stage2Redirect
11424519898SXuan Hu  private val s1_s3_redirect = Mux(s1_robFlushRedirect.valid, s1_robFlushRedirect, s3_redirectGen)
11524519898SXuan Hu  private val s2_s4_pendingRedirectValid = RegInit(false.B)
11624519898SXuan Hu  when (s1_s3_redirect.valid) {
11724519898SXuan Hu    s2_s4_pendingRedirectValid := true.B
1185f8b6c9eSsinceforYy  }.elsewhen (GatedValidRegNext(io.frontend.toFtq.redirect.valid)) {
11924519898SXuan Hu    s2_s4_pendingRedirectValid := false.B
12024519898SXuan Hu  }
12124519898SXuan Hu
12224519898SXuan Hu  // Redirect will be RegNext at ExuBlocks and IssueBlocks
12324519898SXuan Hu  val s2_s4_redirect = RegNextWithEnable(s1_s3_redirect)
12424519898SXuan Hu  val s3_s5_redirect = RegNextWithEnable(s2_s4_redirect)
12524519898SXuan Hu
12624519898SXuan Hu  private val delayedNotFlushedWriteBack = io.fromWB.wbData.map(x => {
12724519898SXuan Hu    val valid = x.valid
12854c6d89dSxiaofeibao-xjtu    val killedByOlder = x.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect))
12924519898SXuan Hu    val delayed = Wire(Valid(new ExuOutput(x.bits.params)))
1305f8b6c9eSsinceforYy    delayed.valid := GatedValidRegNext(valid && !killedByOlder)
13124519898SXuan Hu    delayed.bits := RegEnable(x.bits, x.valid)
13296e858baSXuan Hu    delayed.bits.debugInfo.writebackTime := GTimer()
13324519898SXuan Hu    delayed
13483ba63b3SXuan Hu  }).toSeq
135bd5909d0Sxiaofeibao-xjtu  private val delayedWriteBack = Wire(chiselTypeOf(io.fromWB.wbData))
136bd5909d0Sxiaofeibao-xjtu  delayedWriteBack.zipWithIndex.map{ case (x,i) =>
137bd5909d0Sxiaofeibao-xjtu    x.valid := GatedValidRegNext(io.fromWB.wbData(i).valid)
138bd5909d0Sxiaofeibao-xjtu    x.bits := delayedNotFlushedWriteBack(i).bits
139bd5909d0Sxiaofeibao-xjtu  }
140571677c9Sxiaofeibao-xjtu  val delayedNotFlushedWriteBackNeedFlush = Wire(Vec(params.allExuParams.filter(_.needExceptionGen).length, Bool()))
141571677c9Sxiaofeibao-xjtu  delayedNotFlushedWriteBackNeedFlush := delayedNotFlushedWriteBack.filter(_.bits.params.needExceptionGen).map{ x =>
142571677c9Sxiaofeibao-xjtu    x.bits.exceptionVec.get.asUInt.orR || x.bits.flushPipe.getOrElse(false.B) || x.bits.replay.getOrElse(false.B) ||
143571677c9Sxiaofeibao-xjtu      (if (x.bits.trigger.nonEmpty) x.bits.trigger.get.getBackendCanFire else false.B)
144571677c9Sxiaofeibao-xjtu  }
14524519898SXuan Hu
14685f51ecaSxiaofeibao-xjtu  val wbDataNoStd = io.fromWB.wbData.filter(!_.bits.params.hasStdFu)
14747c01b71Sxiaofeibao-xjtu  val intScheWbData = io.fromWB.wbData.filter(_.bits.params.schdType.isInstanceOf[IntScheduler])
1485e7a1fcaSxiaofeibao  val fpScheWbData = io.fromWB.wbData.filter(_.bits.params.schdType.isInstanceOf[FpScheduler])
14947c01b71Sxiaofeibao-xjtu  val vfScheWbData = io.fromWB.wbData.filter(_.bits.params.schdType.isInstanceOf[VfScheduler])
150618b89e6Slewislzh  val intCanCompress = intScheWbData.filter(_.bits.params.CanCompress)
151618b89e6Slewislzh  val i2vWbData = intScheWbData.filter(_.bits.params.writeVecRf)
152618b89e6Slewislzh  val f2vWbData = fpScheWbData.filter(_.bits.params.writeVecRf)
15347c01b71Sxiaofeibao-xjtu  val memVloadWbData = io.fromWB.wbData.filter(x => x.bits.params.schdType.isInstanceOf[MemScheduler] && x.bits.params.hasVLoadFu)
15485f51ecaSxiaofeibao-xjtu  private val delayedNotFlushedWriteBackNums = wbDataNoStd.map(x => {
15585f51ecaSxiaofeibao-xjtu    val valid = x.valid
15685f51ecaSxiaofeibao-xjtu    val killedByOlder = x.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect, s3_s5_redirect))
15785f51ecaSxiaofeibao-xjtu    val delayed = Wire(Valid(UInt(io.fromWB.wbData.size.U.getWidth.W)))
1585f8b6c9eSsinceforYy    delayed.valid := GatedValidRegNext(valid && !killedByOlder)
159618b89e6Slewislzh    val isIntSche = intCanCompress.contains(x)
1605e7a1fcaSxiaofeibao    val isFpSche = fpScheWbData.contains(x)
16147c01b71Sxiaofeibao-xjtu    val isVfSche = vfScheWbData.contains(x)
16247c01b71Sxiaofeibao-xjtu    val isMemVload = memVloadWbData.contains(x)
163618b89e6Slewislzh    val isi2v = i2vWbData.contains(x)
164618b89e6Slewislzh    val isf2v = f2vWbData.contains(x)
165618b89e6Slewislzh    val canSameRobidxWbData = if(isVfSche) {
166618b89e6Slewislzh      i2vWbData ++ f2vWbData ++ vfScheWbData
167618b89e6Slewislzh    } else if(isi2v) {
168618b89e6Slewislzh      intCanCompress ++ fpScheWbData ++ vfScheWbData
169618b89e6Slewislzh    } else if (isf2v) {
170618b89e6Slewislzh      intCanCompress ++ fpScheWbData ++ vfScheWbData
171618b89e6Slewislzh    } else if (isIntSche) {
172618b89e6Slewislzh      intCanCompress ++ fpScheWbData
1735e7a1fcaSxiaofeibao    } else if (isFpSche) {
174618b89e6Slewislzh      intCanCompress ++ fpScheWbData
17547c01b71Sxiaofeibao-xjtu    }  else if (isMemVload) {
17647c01b71Sxiaofeibao-xjtu      memVloadWbData
17747c01b71Sxiaofeibao-xjtu    } else {
17847c01b71Sxiaofeibao-xjtu      Seq(x)
17947c01b71Sxiaofeibao-xjtu    }
18047c01b71Sxiaofeibao-xjtu    val sameRobidxBools = VecInit(canSameRobidxWbData.map( wb => {
18185f51ecaSxiaofeibao-xjtu      val killedByOlderThat = wb.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect, s3_s5_redirect))
18285f51ecaSxiaofeibao-xjtu      (wb.bits.robIdx === x.bits.robIdx) && wb.valid && x.valid && !killedByOlderThat && !killedByOlder
18385f51ecaSxiaofeibao-xjtu    }).toSeq)
18441dbbdfdSsinceforYy    delayed.bits := RegEnable(PopCount(sameRobidxBools), x.valid)
18585f51ecaSxiaofeibao-xjtu    delayed
18685f51ecaSxiaofeibao-xjtu  }).toSeq
18785f51ecaSxiaofeibao-xjtu
18824519898SXuan Hu  private val exuPredecode = VecInit(
18954c6d89dSxiaofeibao-xjtu    io.fromWB.wbData.filter(_.bits.redirect.nonEmpty).map(x => x.bits.predecodeInfo.get).toSeq
19024519898SXuan Hu  )
19124519898SXuan Hu
19254c6d89dSxiaofeibao-xjtu  private val exuRedirects: Seq[ValidIO[Redirect]] = io.fromWB.wbData.filter(_.bits.redirect.nonEmpty).map(x => {
19324519898SXuan Hu    val out = Wire(Valid(new Redirect()))
19454c6d89dSxiaofeibao-xjtu    out.valid := x.valid && x.bits.redirect.get.valid && x.bits.redirect.get.bits.cfiUpdate.isMisPred && !x.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect))
19524519898SXuan Hu    out.bits := x.bits.redirect.get.bits
196a63155a6SXuan Hu    out.bits.debugIsCtrl := true.B
197a63155a6SXuan Hu    out.bits.debugIsMemVio := false.B
19824519898SXuan Hu    out
19983ba63b3SXuan Hu  }).toSeq
20054c6d89dSxiaofeibao-xjtu  private val oldestOneHot = Redirect.selectOldestRedirect(exuRedirects)
20154c6d89dSxiaofeibao-xjtu  private val oldestExuRedirect = Mux1H(oldestOneHot, exuRedirects)
20254c6d89dSxiaofeibao-xjtu  private val oldestExuPredecode = Mux1H(oldestOneHot, exuPredecode)
20324519898SXuan Hu
20424519898SXuan Hu  private val memViolation = io.fromMem.violation
20524519898SXuan Hu  val loadReplay = Wire(ValidIO(new Redirect))
20654c6d89dSxiaofeibao-xjtu  loadReplay.valid := GatedValidRegNext(memViolation.valid)
20724519898SXuan Hu  loadReplay.bits := RegEnable(memViolation.bits, memViolation.valid)
208a63155a6SXuan Hu  loadReplay.bits.debugIsCtrl := false.B
209a63155a6SXuan Hu  loadReplay.bits.debugIsMemVio := true.B
21024519898SXuan Hu
21154c6d89dSxiaofeibao-xjtu  pcMem.io.ren.get(pcMemRdIndexes("redirect").head) := memViolation.valid
21254c6d89dSxiaofeibao-xjtu  pcMem.io.raddr(pcMemRdIndexes("redirect").head) := memViolation.bits.ftqIdx.value
21354c6d89dSxiaofeibao-xjtu  pcMem.io.ren.get(pcMemRdIndexes("memPred").head) := memViolation.valid
21454c6d89dSxiaofeibao-xjtu  pcMem.io.raddr(pcMemRdIndexes("memPred").head) := memViolation.bits.stFtqIdx.value
21554c6d89dSxiaofeibao-xjtu  redirectGen.io.memPredPcRead.data := pcMem.io.rdata(pcMemRdIndexes("memPred").head).getPc(RegEnable(memViolation.bits.stFtqOffset, memViolation.valid))
21624519898SXuan Hu
21724519898SXuan Hu  for ((pcMemIdx, i) <- pcMemRdIndexes("load").zipWithIndex) {
2188241cb85SXuan Hu    // load read pcMem (s0) -> get rdata (s1) -> reg next in Memblock (s2) -> reg next in Memblock (s3) -> consumed by pf (s3)
21954c6d89dSxiaofeibao-xjtu    pcMem.io.ren.get(pcMemIdx) := io.memLdPcRead(i).valid
22024519898SXuan Hu    pcMem.io.raddr(pcMemIdx) := io.memLdPcRead(i).ptr.value
22154c6d89dSxiaofeibao-xjtu    io.memLdPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegEnable(io.memLdPcRead(i).offset, io.memLdPcRead(i).valid))
22224519898SXuan Hu  }
22324519898SXuan Hu
224b133b458SXuan Hu  for ((pcMemIdx, i) <- pcMemRdIndexes("hybrid").zipWithIndex) {
2258241cb85SXuan Hu    // load read pcMem (s0) -> get rdata (s1) -> reg next in Memblock (s2) -> reg next in Memblock (s3) -> consumed by pf (s3)
22654c6d89dSxiaofeibao-xjtu    pcMem.io.ren.get(pcMemIdx) := io.memHyPcRead(i).valid
227b133b458SXuan Hu    pcMem.io.raddr(pcMemIdx) := io.memHyPcRead(i).ptr.value
22854c6d89dSxiaofeibao-xjtu    io.memHyPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegEnable(io.memHyPcRead(i).offset, io.memHyPcRead(i).valid))
229b133b458SXuan Hu  }
230b133b458SXuan Hu
2314b0d80d8SXuan Hu  if (EnableStorePrefetchSMS) {
2324b0d80d8SXuan Hu    for ((pcMemIdx, i) <- pcMemRdIndexes("store").zipWithIndex) {
23354c6d89dSxiaofeibao-xjtu      pcMem.io.ren.get(pcMemIdx) := io.memStPcRead(i).valid
2344b0d80d8SXuan Hu      pcMem.io.raddr(pcMemIdx) := io.memStPcRead(i).ptr.value
23554c6d89dSxiaofeibao-xjtu      io.memStPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegEnable(io.memStPcRead(i).offset, io.memStPcRead(i).valid))
2364b0d80d8SXuan Hu    }
2374b0d80d8SXuan Hu  } else {
23883ba63b3SXuan Hu    io.memStPcRead.foreach(_.data := 0.U)
2394b0d80d8SXuan Hu  }
2404b0d80d8SXuan Hu
24124519898SXuan Hu  redirectGen.io.hartId := io.fromTop.hartId
24254c6d89dSxiaofeibao-xjtu  redirectGen.io.oldestExuRedirect.valid := GatedValidRegNext(oldestExuRedirect.valid)
24354c6d89dSxiaofeibao-xjtu  redirectGen.io.oldestExuRedirect.bits := RegEnable(oldestExuRedirect.bits, oldestExuRedirect.valid)
24454c6d89dSxiaofeibao-xjtu  redirectGen.io.oldestExuOutPredecode.valid := GatedValidRegNext(oldestExuPredecode.valid)
24554c6d89dSxiaofeibao-xjtu  redirectGen.io.oldestExuOutPredecode := RegEnable(oldestExuPredecode, oldestExuPredecode.valid)
24624519898SXuan Hu  redirectGen.io.loadReplay <> loadReplay
24754c6d89dSxiaofeibao-xjtu  val loadRedirectPcRead = pcMem.io.rdata(pcMemRdIndexes("redirect").head).getPc(RegEnable(memViolation.bits.ftqOffset, memViolation.valid))
24854c6d89dSxiaofeibao-xjtu  redirectGen.io.loadReplay.bits.cfiUpdate.pc := loadRedirectPcRead
24954c6d89dSxiaofeibao-xjtu  val load_pc_offset = Mux(loadReplay.bits.flushItself(), 0.U, Mux(loadReplay.bits.isRVC, 2.U, 4.U))
25054c6d89dSxiaofeibao-xjtu  val load_target = loadRedirectPcRead + load_pc_offset
25154c6d89dSxiaofeibao-xjtu  redirectGen.io.loadReplay.bits.cfiUpdate.target := load_target
25224519898SXuan Hu
25354c6d89dSxiaofeibao-xjtu  redirectGen.io.robFlush := s1_robFlushRedirect
25424519898SXuan Hu
255ff7f931dSXuan Hu  val s5_flushFromRobValidAhead = DelayN(s1_robFlushRedirect.valid, 4)
2565f8b6c9eSsinceforYy  val s6_flushFromRobValid = GatedValidRegNext(s5_flushFromRobValidAhead)
25724519898SXuan Hu  val frontendFlushBits = RegEnable(s1_robFlushRedirect.bits, s1_robFlushRedirect.valid) // ??
25824519898SXuan Hu  // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit.
25924519898SXuan Hu  // Flushes to frontend may be delayed by some cycles and commit before flush causes errors.
26024519898SXuan Hu  // Thus, we make all flush reasons to behave the same as exceptions for frontend.
26124519898SXuan Hu  for (i <- 0 until CommitWidth) {
26224519898SXuan Hu    // why flushOut: instructions with flushPipe are not commited to frontend
26324519898SXuan Hu    // If we commit them to frontend, it will cause flush after commit, which is not acceptable by frontend.
26424519898SXuan Hu    val s1_isCommit = rob.io.commits.commitValid(i) && rob.io.commits.isCommit && !s0_robFlushRedirect.valid
2655f8b6c9eSsinceforYy    io.frontend.toFtq.rob_commits(i).valid := GatedValidRegNext(s1_isCommit)
26624519898SXuan Hu    io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), s1_isCommit)
26724519898SXuan Hu  }
268ff7f931dSXuan Hu  io.frontend.toFtq.redirect.valid := s6_flushFromRobValid || s3_redirectGen.valid
269ff7f931dSXuan Hu  io.frontend.toFtq.redirect.bits := Mux(s6_flushFromRobValid, frontendFlushBits, s3_redirectGen.bits)
270ff7f931dSXuan Hu  io.frontend.toFtq.ftqIdxSelOH.valid := s6_flushFromRobValid || redirectGen.io.stage2Redirect.valid
271ff7f931dSXuan Hu  io.frontend.toFtq.ftqIdxSelOH.bits := Cat(s6_flushFromRobValid, redirectGen.io.stage2oldestOH & Fill(NumRedirect + 1, !s6_flushFromRobValid))
2729342624fSGao-Zeyu
27354c6d89dSxiaofeibao-xjtu  //jmp/brh, sel oldest first, only use one read port
27454c6d89dSxiaofeibao-xjtu  io.frontend.toFtq.ftqIdxAhead(0).valid := RegNext(oldestExuRedirect.valid) && !s1_robFlushRedirect.valid && !s5_flushFromRobValidAhead
27554c6d89dSxiaofeibao-xjtu  io.frontend.toFtq.ftqIdxAhead(0).bits := RegEnable(oldestExuRedirect.bits.ftqIdx, oldestExuRedirect.valid)
2769342624fSGao-Zeyu  //loadreplay
277ff7f931dSXuan Hu  io.frontend.toFtq.ftqIdxAhead(NumRedirect).valid := loadReplay.valid && !s1_robFlushRedirect.valid && !s5_flushFromRobValidAhead
2789342624fSGao-Zeyu  io.frontend.toFtq.ftqIdxAhead(NumRedirect).bits := loadReplay.bits.ftqIdx
2799342624fSGao-Zeyu  //exception
280ff7f931dSXuan Hu  io.frontend.toFtq.ftqIdxAhead.last.valid := s5_flushFromRobValidAhead
2819342624fSGao-Zeyu  io.frontend.toFtq.ftqIdxAhead.last.bits := frontendFlushBits.ftqIdx
28205cc2a4eSXuan Hu
28324519898SXuan Hu  // Be careful here:
28424519898SXuan Hu  // T0: rob.io.flushOut, s0_robFlushRedirect
28524519898SXuan Hu  // T1: s1_robFlushRedirect, rob.io.exception.valid
28624519898SXuan Hu  // T2: csr.redirect.valid
28724519898SXuan Hu  // T3: csr.exception.valid
28824519898SXuan Hu  // T4: csr.trapTarget
28924519898SXuan Hu  // T5: ctrlBlock.trapTarget
29024519898SXuan Hu  // T6: io.frontend.toFtq.stage2Redirect.valid
29124519898SXuan Hu  val s2_robFlushPc = RegEnable(Mux(s1_robFlushRedirect.bits.flushItself(),
29224519898SXuan Hu    s1_robFlushPc, // replay inst
293870f462dSXuan Hu    s1_robFlushPc + Mux(s1_robFlushRedirect.bits.isRVC, 2.U, 4.U) // flush pipe
29424519898SXuan Hu  ), s1_robFlushRedirect.valid)
29524519898SXuan Hu  private val s5_csrIsTrap = DelayN(rob.io.exception.valid, 4)
296dcdd1406SXuan Hu  private val s5_trapTargetFromCsr = io.robio.csr.trapTarget
29724519898SXuan Hu
298dcdd1406SXuan Hu  val flushTarget = Mux(s5_csrIsTrap, s5_trapTargetFromCsr, s2_robFlushPc)
299ff7f931dSXuan Hu  when (s6_flushFromRobValid) {
30024519898SXuan Hu    io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush
30174f21f21SsinceforYy    io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegEnable(flushTarget, s5_flushFromRobValidAhead)
30224519898SXuan Hu  }
30324519898SXuan Hu
3046f483f86SXuan Hu  for (i <- 0 until DecodeWidth) {
3056f483f86SXuan Hu    gpaMem.io.fromIFU := io.frontend.fromIfu
3066f483f86SXuan Hu    gpaMem.io.exceptionReadAddr.valid := rob.io.readGPAMemAddr.valid
3076f483f86SXuan Hu    gpaMem.io.exceptionReadAddr.bits.ftqPtr := rob.io.readGPAMemAddr.bits.ftqPtr
3086f483f86SXuan Hu    gpaMem.io.exceptionReadAddr.bits.ftqOffset := rob.io.readGPAMemAddr.bits.ftqOffset
3096f483f86SXuan Hu  }
3106f483f86SXuan Hu
31124519898SXuan Hu  // vtype commit
31215ed99a7SXuan Hu  decode.io.fromCSR := io.fromCSR.toDecode
31386727929Ssinsanction  decode.io.isResumeVType := rob.io.toDecode.isResumeVType
31481535d7bSsinsanction  decode.io.commitVType := rob.io.toDecode.commitVType
31581535d7bSsinsanction  decode.io.walkVType := rob.io.toDecode.walkVType
31624519898SXuan Hu
317e25c13faSXuan Hu  decode.io.redirect := s1_s3_redirect.valid || s2_s4_pendingRedirectValid
318f6458cc1SZiyue Zhang  decode.io.vtypeRedirect := s1_s3_redirect.valid
31924519898SXuan Hu
320d19fa3e9Sxiaofeibao-xjtu  // add decode Buf for in.ready better timing
321d19fa3e9Sxiaofeibao-xjtu  val decodeBufBits = Reg(Vec(DecodeWidth, new StaticInst))
322d19fa3e9Sxiaofeibao-xjtu  val decodeBufValid = RegInit(VecInit(Seq.fill(DecodeWidth)(false.B)))
323d19fa3e9Sxiaofeibao-xjtu  val decodeFromFrontend = io.frontend.cfVec
324d19fa3e9Sxiaofeibao-xjtu  val decodeBufNotAccept = VecInit(decodeBufValid.zip(decode.io.in).map(x => x._1 && !x._2.ready))
325d19fa3e9Sxiaofeibao-xjtu  val decodeBufAcceptNum = PriorityMuxDefault(decodeBufNotAccept.zip(Seq.tabulate(DecodeWidth)(i => i.U)), DecodeWidth.U)
326d19fa3e9Sxiaofeibao-xjtu  val decodeFromFrontendNotAccept = VecInit(decodeFromFrontend.zip(decode.io.in).map(x => decodeBufValid(0) || x._1.valid && !x._2.ready))
327d19fa3e9Sxiaofeibao-xjtu  val decodeFromFrontendAcceptNum = PriorityMuxDefault(decodeFromFrontendNotAccept.zip(Seq.tabulate(DecodeWidth)(i => i.U)), DecodeWidth.U)
328d19fa3e9Sxiaofeibao-xjtu  if (backendParams.debugEn) {
329d19fa3e9Sxiaofeibao-xjtu    dontTouch(decodeBufNotAccept)
330d19fa3e9Sxiaofeibao-xjtu    dontTouch(decodeBufAcceptNum)
331d19fa3e9Sxiaofeibao-xjtu    dontTouch(decodeFromFrontendNotAccept)
332d19fa3e9Sxiaofeibao-xjtu    dontTouch(decodeFromFrontendAcceptNum)
333d19fa3e9Sxiaofeibao-xjtu  }
334d19fa3e9Sxiaofeibao-xjtu  val a = decodeBufNotAccept.drop(2)
335d19fa3e9Sxiaofeibao-xjtu  for (i <- 0 until DecodeWidth) {
336d19fa3e9Sxiaofeibao-xjtu    // decodeBufValid update
337d19fa3e9Sxiaofeibao-xjtu    when(decode.io.redirect || decodeBufValid(0) && decodeBufValid(i) && decode.io.in(i).ready && !VecInit(decodeBufNotAccept.drop(i)).asUInt.orR) {
338d19fa3e9Sxiaofeibao-xjtu      decodeBufValid(i) := false.B
339d19fa3e9Sxiaofeibao-xjtu    }.elsewhen(decodeBufValid(i) && VecInit(decodeBufNotAccept.drop(i)).asUInt.orR) {
340d19fa3e9Sxiaofeibao-xjtu      decodeBufValid(i) := Mux(decodeBufAcceptNum > DecodeWidth.U - 1.U - i.U, false.B, decodeBufValid(i.U + decodeBufAcceptNum))
341d19fa3e9Sxiaofeibao-xjtu    }.elsewhen(!decodeBufValid(0) && VecInit(decodeFromFrontendNotAccept.drop(i)).asUInt.orR) {
342d19fa3e9Sxiaofeibao-xjtu      decodeBufValid(i) := Mux(decodeFromFrontendAcceptNum > DecodeWidth.U - 1.U - i.U, false.B, decodeFromFrontend(i.U + decodeFromFrontendAcceptNum).valid)
343d19fa3e9Sxiaofeibao-xjtu    }
344d19fa3e9Sxiaofeibao-xjtu    // decodeBufBits update
345d19fa3e9Sxiaofeibao-xjtu    when(decodeBufValid(i) && VecInit(decodeBufNotAccept.drop(i)).asUInt.orR) {
346d19fa3e9Sxiaofeibao-xjtu      decodeBufBits(i) := decodeBufBits(i.U + decodeBufAcceptNum)
347d19fa3e9Sxiaofeibao-xjtu    }.elsewhen(!decodeBufValid(0) && VecInit(decodeFromFrontendNotAccept.drop(i)).asUInt.orR) {
348d19fa3e9Sxiaofeibao-xjtu      decodeBufBits(i).connectCtrlFlow(decodeFromFrontend(i.U + decodeFromFrontendAcceptNum).bits)
349d19fa3e9Sxiaofeibao-xjtu    }
350d19fa3e9Sxiaofeibao-xjtu  }
351d19fa3e9Sxiaofeibao-xjtu  val decodeConnectFromFrontend = Wire(Vec(DecodeWidth, new StaticInst))
352d19fa3e9Sxiaofeibao-xjtu  decodeConnectFromFrontend.zip(decodeFromFrontend).map(x => x._1.connectCtrlFlow(x._2.bits))
353d19fa3e9Sxiaofeibao-xjtu  decode.io.in.zipWithIndex.foreach { case (decodeIn, i) =>
354d19fa3e9Sxiaofeibao-xjtu    decodeIn.valid := Mux(decodeBufValid(0), decodeBufValid(i), decodeFromFrontend(i).valid)
355d19fa3e9Sxiaofeibao-xjtu    decodeFromFrontend(i).ready := decodeFromFrontend(0).valid && !decodeBufValid(0) && decodeFromFrontend(i).valid && !decode.io.redirect
356d19fa3e9Sxiaofeibao-xjtu    decodeIn.bits := Mux(decodeBufValid(i), decodeBufBits(i), decodeConnectFromFrontend(i))
35724519898SXuan Hu  }
3588506cfc0Sxiaofeibao  io.frontend.canAccept := !decodeBufValid(0) || !decodeFromFrontend(0).valid
35924519898SXuan Hu  decode.io.csrCtrl := RegNext(io.csrCtrl)
36024519898SXuan Hu  decode.io.intRat <> rat.io.intReadPorts
36124519898SXuan Hu  decode.io.fpRat <> rat.io.fpReadPorts
36224519898SXuan Hu  decode.io.vecRat <> rat.io.vecReadPorts
363368cbcecSxiaofeibao  decode.io.v0Rat <> rat.io.v0ReadPorts
364368cbcecSxiaofeibao  decode.io.vlRat <> rat.io.vlReadPorts
36524519898SXuan Hu  decode.io.fusion := 0.U.asTypeOf(decode.io.fusion) // Todo
366870f462dSXuan Hu  decode.io.stallReason.in <> io.frontend.stallReason
36724519898SXuan Hu
368fa7f2c26STang Haojin  // snapshot check
369c4b56310SHaojin Tang  class CFIRobIdx extends Bundle {
370c4b56310SHaojin Tang    val robIdx = Vec(RenameWidth, new RobPtr)
371c4b56310SHaojin Tang    val isCFI = Vec(RenameWidth, Bool())
372c4b56310SHaojin Tang  }
373c4b56310SHaojin Tang  val genSnapshot = Cat(rename.io.out.map(out => out.fire && out.bits.snapshot)).orR
374c4b56310SHaojin Tang  val snpt = Module(new SnapshotGenerator(0.U.asTypeOf(new CFIRobIdx)))
375c4b56310SHaojin Tang  snpt.io.enq := genSnapshot
376c4b56310SHaojin Tang  snpt.io.enqData.robIdx := rename.io.out.map(_.bits.robIdx)
377c4b56310SHaojin Tang  snpt.io.enqData.isCFI := rename.io.out.map(_.bits.snapshot)
378fa7f2c26STang Haojin  snpt.io.deq := snpt.io.valids(snpt.io.deqPtr.value) && rob.io.commits.isCommit &&
379c4b56310SHaojin Tang    Cat(rob.io.commits.commitValid.zip(rob.io.commits.robIdx).map(x => x._1 && x._2 === snpt.io.snapshots(snpt.io.deqPtr.value).robIdx.head)).orR
380c4b56310SHaojin Tang  snpt.io.redirect := s1_s3_redirect.valid
381c4b56310SHaojin Tang  val flushVec = VecInit(snpt.io.snapshots.map { snapshot =>
382c4b56310SHaojin Tang    val notCFIMask = snapshot.isCFI.map(~_)
38337d77575SzhanglyGit    val shouldFlush = snapshot.robIdx.map(robIdx => robIdx >= s1_s3_redirect.bits.robIdx || robIdx.value === s1_s3_redirect.bits.robIdx.value)
38437d77575SzhanglyGit    val shouldFlushMask = (1 to RenameWidth).map(shouldFlush take _ reduce (_ || _))
38537d77575SzhanglyGit    s1_s3_redirect.valid && Cat(shouldFlushMask.zip(notCFIMask).map(x => x._1 | x._2)).andR
386c4b56310SHaojin Tang  })
387a6742963SHaojin Tang  val flushVecNext = flushVec zip snpt.io.valids map (x => GatedValidRegNext(x._1 && x._2, false.B))
388c4b56310SHaojin Tang  snpt.io.flushVec := flushVecNext
389fa7f2c26STang Haojin
390fa7f2c26STang Haojin  val useSnpt = VecInit.tabulate(RenameSnapshotNum)(idx =>
391780712aaSxiaofeibao-xjtu    snpt.io.valids(idx) && (s1_s3_redirect.bits.robIdx > snpt.io.snapshots(idx).robIdx.head ||
392780712aaSxiaofeibao-xjtu      !s1_s3_redirect.bits.flushItself() && s1_s3_redirect.bits.robIdx === snpt.io.snapshots(idx).robIdx.head)
393c61abc0cSXuan Hu  ).reduceTree(_ || _)
394c61abc0cSXuan Hu  val snptSelect = MuxCase(
395c61abc0cSXuan Hu    0.U(log2Ceil(RenameSnapshotNum).W),
396fa7f2c26STang Haojin    (1 to RenameSnapshotNum).map(i => (snpt.io.enqPtr - i.U).value).map(idx =>
397780712aaSxiaofeibao-xjtu      (snpt.io.valids(idx) && (s1_s3_redirect.bits.robIdx > snpt.io.snapshots(idx).robIdx.head ||
398780712aaSxiaofeibao-xjtu        !s1_s3_redirect.bits.flushItself() && s1_s3_redirect.bits.robIdx === snpt.io.snapshots(idx).robIdx.head), idx)
399c61abc0cSXuan Hu    )
400c61abc0cSXuan Hu  )
401fa7f2c26STang Haojin
402fa7f2c26STang Haojin  rob.io.snpt.snptEnq := DontCare
403fa7f2c26STang Haojin  rob.io.snpt.snptDeq := snpt.io.deq
404fa7f2c26STang Haojin  rob.io.snpt.useSnpt := useSnpt
405fa7f2c26STang Haojin  rob.io.snpt.snptSelect := snptSelect
406c4b56310SHaojin Tang  rob.io.snpt.flushVec := flushVecNext
407c4b56310SHaojin Tang  rat.io.snpt.snptEnq := genSnapshot
408fa7f2c26STang Haojin  rat.io.snpt.snptDeq := snpt.io.deq
409fa7f2c26STang Haojin  rat.io.snpt.useSnpt := useSnpt
410fa7f2c26STang Haojin  rat.io.snpt.snptSelect := snptSelect
411c4b56310SHaojin Tang  rat.io.snpt.flushVec := flushVec
412fa7f2c26STang Haojin
41324519898SXuan Hu  val decodeHasException = decode.io.out.map(x => x.bits.exceptionVec(instrPageFault) || x.bits.exceptionVec(instrAccessFault))
41424519898SXuan Hu  // fusion decoder
41524519898SXuan Hu  for (i <- 0 until DecodeWidth) {
41624519898SXuan Hu    fusionDecoder.io.in(i).valid := decode.io.out(i).valid && !(decodeHasException(i) || disableFusion)
41724519898SXuan Hu    fusionDecoder.io.in(i).bits := decode.io.out(i).bits.instr
41824519898SXuan Hu    if (i > 0) {
41924519898SXuan Hu      fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready
42024519898SXuan Hu    }
42124519898SXuan Hu  }
42224519898SXuan Hu
42324519898SXuan Hu  private val decodePipeRename = Wire(Vec(RenameWidth, DecoupledIO(new DecodedInst)))
42424519898SXuan Hu
42524519898SXuan Hu  for (i <- 0 until RenameWidth) {
42624519898SXuan Hu    PipelineConnect(decode.io.out(i), decodePipeRename(i), rename.io.in(i).ready,
42724519898SXuan Hu      s1_s3_redirect.valid || s2_s4_pendingRedirectValid, moduleName = Some("decodePipeRenameModule"))
42824519898SXuan Hu
42924519898SXuan Hu    decodePipeRename(i).ready := rename.io.in(i).ready
43024519898SXuan Hu    rename.io.in(i).valid := decodePipeRename(i).valid && !fusionDecoder.io.clear(i)
43124519898SXuan Hu    rename.io.in(i).bits := decodePipeRename(i).bits
43224519898SXuan Hu  }
43324519898SXuan Hu
43424519898SXuan Hu  for (i <- 0 until RenameWidth - 1) {
43524519898SXuan Hu    fusionDecoder.io.dec(i) := decodePipeRename(i).bits
43624519898SXuan Hu    rename.io.fusionInfo(i) := fusionDecoder.io.info(i)
43724519898SXuan Hu
43824519898SXuan Hu    // update the first RenameWidth - 1 instructions
43924519898SXuan Hu    decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire
44024519898SXuan Hu    when (fusionDecoder.io.out(i).valid) {
44124519898SXuan Hu      fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits)
44224519898SXuan Hu      // TODO: remove this dirty code for ftq update
44324519898SXuan Hu      val sameFtqPtr = rename.io.in(i).bits.ftqPtr.value === rename.io.in(i + 1).bits.ftqPtr.value
44424519898SXuan Hu      val ftqOffset0 = rename.io.in(i).bits.ftqOffset
44524519898SXuan Hu      val ftqOffset1 = rename.io.in(i + 1).bits.ftqOffset
44624519898SXuan Hu      val ftqOffsetDiff = ftqOffset1 - ftqOffset0
44724519898SXuan Hu      val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U
44824519898SXuan Hu      val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U
44924519898SXuan Hu      val cond3 = !sameFtqPtr && ftqOffset1 === 0.U
45024519898SXuan Hu      val cond4 = !sameFtqPtr && ftqOffset1 === 1.U
45124519898SXuan Hu      rename.io.in(i).bits.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U)))
45224519898SXuan Hu      XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n")
45324519898SXuan Hu    }
45424519898SXuan Hu
45524519898SXuan Hu  }
45624519898SXuan Hu
45724519898SXuan Hu  // memory dependency predict
45824519898SXuan Hu  // when decode, send fold pc to mdp
4599477429fSsinceforYy  private val mdpFlodPcVecVld = Wire(Vec(DecodeWidth, Bool()))
46024519898SXuan Hu  private val mdpFlodPcVec = Wire(Vec(DecodeWidth, UInt(MemPredPCWidth.W)))
46124519898SXuan Hu  for (i <- 0 until DecodeWidth) {
4629477429fSsinceforYy    mdpFlodPcVecVld(i) := decode.io.out(i).fire || GatedValidRegNext(decode.io.out(i).fire)
46324519898SXuan Hu    mdpFlodPcVec(i) := Mux(
46424519898SXuan Hu      decode.io.out(i).fire,
46524519898SXuan Hu      decode.io.in(i).bits.foldpc,
46624519898SXuan Hu      rename.io.in(i).bits.foldpc
46724519898SXuan Hu    )
46824519898SXuan Hu  }
46924519898SXuan Hu
47024519898SXuan Hu  // currently, we only update mdp info when isReplay
47124519898SXuan Hu  memCtrl.io.redirect := s1_s3_redirect
47224519898SXuan Hu  memCtrl.io.csrCtrl := io.csrCtrl                          // RegNext in memCtrl
47324519898SXuan Hu  memCtrl.io.stIn := io.fromMem.stIn                        // RegNext in memCtrl
47424519898SXuan Hu  memCtrl.io.memPredUpdate := redirectGen.io.memPredUpdate  // RegNext in memCtrl
4759477429fSsinceforYy  memCtrl.io.mdpFoldPcVecVld := mdpFlodPcVecVld
47624519898SXuan Hu  memCtrl.io.mdpFlodPcVec := mdpFlodPcVec
47724519898SXuan Hu  memCtrl.io.dispatchLFSTio <> dispatch.io.lfst
47824519898SXuan Hu
47924519898SXuan Hu  rat.io.redirect := s1_s3_redirect.valid
4806b102a39SHaojin Tang  rat.io.rabCommits := rob.io.rabCommits
481cda1c534Sxiaofeibao-xjtu  rat.io.diffCommits.foreach(_ := rob.io.diffCommits.get)
48224519898SXuan Hu  rat.io.intRenamePorts := rename.io.intRenamePorts
48324519898SXuan Hu  rat.io.fpRenamePorts := rename.io.fpRenamePorts
48424519898SXuan Hu  rat.io.vecRenamePorts := rename.io.vecRenamePorts
485368cbcecSxiaofeibao  rat.io.v0RenamePorts := rename.io.v0RenamePorts
486368cbcecSxiaofeibao  rat.io.vlRenamePorts := rename.io.vlRenamePorts
48724519898SXuan Hu
48824519898SXuan Hu  rename.io.redirect := s1_s3_redirect
4896b102a39SHaojin Tang  rename.io.rabCommits := rob.io.rabCommits
490*a3fe955fSGuanghui Cheng  rename.io.singleStep := GatedValidRegNext(io.csrCtrl.singlestep)
49124519898SXuan Hu  rename.io.waittable := (memCtrl.io.waitTable2Rename zip decode.io.out).map{ case(waittable2rename, decodeOut) =>
49224519898SXuan Hu    RegEnable(waittable2rename, decodeOut.fire)
49324519898SXuan Hu  }
49424519898SXuan Hu  rename.io.ssit := memCtrl.io.ssit2Rename
49524519898SXuan Hu  rename.io.intReadPorts := VecInit(rat.io.intReadPorts.map(x => VecInit(x.map(_.data))))
49624519898SXuan Hu  rename.io.fpReadPorts := VecInit(rat.io.fpReadPorts.map(x => VecInit(x.map(_.data))))
49724519898SXuan Hu  rename.io.vecReadPorts := VecInit(rat.io.vecReadPorts.map(x => VecInit(x.map(_.data))))
498368cbcecSxiaofeibao  rename.io.v0ReadPorts := VecInit(rat.io.v0ReadPorts.map(x => VecInit(x.data)))
499368cbcecSxiaofeibao  rename.io.vlReadPorts := VecInit(rat.io.vlReadPorts.map(x => VecInit(x.data)))
500dcf3a679STang Haojin  rename.io.int_need_free := rat.io.int_need_free
501dcf3a679STang Haojin  rename.io.int_old_pdest := rat.io.int_old_pdest
502dcf3a679STang Haojin  rename.io.fp_old_pdest := rat.io.fp_old_pdest
5033cf50307SZiyue Zhang  rename.io.vec_old_pdest := rat.io.vec_old_pdest
504368cbcecSxiaofeibao  rename.io.v0_old_pdest := rat.io.v0_old_pdest
505368cbcecSxiaofeibao  rename.io.vl_old_pdest := rat.io.vl_old_pdest
506b7d9e8d5Sxiaofeibao-xjtu  rename.io.debug_int_rat.foreach(_ := rat.io.debug_int_rat.get)
507b7d9e8d5Sxiaofeibao-xjtu  rename.io.debug_fp_rat.foreach(_ := rat.io.debug_fp_rat.get)
508b7d9e8d5Sxiaofeibao-xjtu  rename.io.debug_vec_rat.foreach(_ := rat.io.debug_vec_rat.get)
509368cbcecSxiaofeibao  rename.io.debug_v0_rat.foreach(_ := rat.io.debug_v0_rat.get)
510368cbcecSxiaofeibao  rename.io.debug_vl_rat.foreach(_ := rat.io.debug_vl_rat.get)
511d2b20d1aSTang Haojin  rename.io.stallReason.in <> decode.io.stallReason.out
512870f462dSXuan Hu  rename.io.snpt.snptEnq := DontCare
513870f462dSXuan Hu  rename.io.snpt.snptDeq := snpt.io.deq
514870f462dSXuan Hu  rename.io.snpt.useSnpt := useSnpt
515870f462dSXuan Hu  rename.io.snpt.snptSelect := snptSelect
516bb7e6e3aSxiaofeibao-xjtu  rename.io.snptIsFull := snpt.io.valids.asUInt.andR
517c4b56310SHaojin Tang  rename.io.snpt.flushVec := flushVecNext
518c4b56310SHaojin Tang  rename.io.snptLastEnq.valid := !isEmpty(snpt.io.enqPtr, snpt.io.deqPtr)
519c4b56310SHaojin Tang  rename.io.snptLastEnq.bits := snpt.io.snapshots((snpt.io.enqPtr - 1.U).value).robIdx.head
520870f462dSXuan Hu
521870f462dSXuan Hu  val renameOut = Wire(chiselTypeOf(rename.io.out))
522870f462dSXuan Hu  renameOut <> rename.io.out
523ac78003fSzhanglyGit  // pass all snapshot in the first element for correctness of blockBackward
524ac78003fSzhanglyGit  renameOut.tail.foreach(_.bits.snapshot := false.B)
525ac78003fSzhanglyGit  renameOut.head.bits.snapshot := Mux(isFull(snpt.io.enqPtr, snpt.io.deqPtr),
526ac78003fSzhanglyGit    false.B,
527ac78003fSzhanglyGit    Cat(rename.io.out.map(out => out.valid && out.bits.snapshot)).orR
528ac78003fSzhanglyGit  )
529ac78003fSzhanglyGit
530ac78003fSzhanglyGit  // pipeline between rename and dispatch
531f5c17053Sxiaofeibao-xjtu  PipeGroupConnect(renameOut, dispatch.io.fromRename, s1_s3_redirect.valid, dispatch.io.toRenameAllFire, "renamePipeDispatch")
53282674533Sxiaofeibao  dispatch.io.intIQValidNumVec := io.intIQValidNumVec
53382674533Sxiaofeibao  dispatch.io.fpIQValidNumVec := io.fpIQValidNumVec
534ff3fcdf1Sxiaofeibao-xjtu  dispatch.io.fromIntDQ.intDQ0ValidDeq0Num := intDq0.io.validDeq0Num
535ff3fcdf1Sxiaofeibao-xjtu  dispatch.io.fromIntDQ.intDQ0ValidDeq1Num := intDq0.io.validDeq1Num
536ff3fcdf1Sxiaofeibao-xjtu  dispatch.io.fromIntDQ.intDQ1ValidDeq0Num := intDq1.io.validDeq0Num
537ff3fcdf1Sxiaofeibao-xjtu  dispatch.io.fromIntDQ.intDQ1ValidDeq1Num := intDq1.io.validDeq1Num
538ff3fcdf1Sxiaofeibao-xjtu
53924519898SXuan Hu  dispatch.io.hartId := io.fromTop.hartId
54024519898SXuan Hu  dispatch.io.redirect := s1_s3_redirect
54124519898SXuan Hu  dispatch.io.enqRob <> rob.io.enq
542d2b20d1aSTang Haojin  dispatch.io.robHead := rob.io.debugRobHead
543d2b20d1aSTang Haojin  dispatch.io.stallReason <> rename.io.stallReason.out
544d2b20d1aSTang Haojin  dispatch.io.lqCanAccept := io.lqCanAccept
545d2b20d1aSTang Haojin  dispatch.io.sqCanAccept := io.sqCanAccept
546d2b20d1aSTang Haojin  dispatch.io.robHeadNotReady := rob.io.headNotReady
547d2b20d1aSTang Haojin  dispatch.io.robFull := rob.io.robFull
5485f8b6c9eSsinceforYy  dispatch.io.singleStep := GatedValidRegNext(io.csrCtrl.singlestep)
54924519898SXuan Hu
550ff3fcdf1Sxiaofeibao-xjtu  intDq0.io.enq <> dispatch.io.toIntDq0
551ff3fcdf1Sxiaofeibao-xjtu  intDq0.io.redirect <> s2_s4_redirect
552ff3fcdf1Sxiaofeibao-xjtu  intDq1.io.enq <> dispatch.io.toIntDq1
553ff3fcdf1Sxiaofeibao-xjtu  intDq1.io.redirect <> s2_s4_redirect
55424519898SXuan Hu
55524519898SXuan Hu  fpDq.io.enq <> dispatch.io.toFpDq
55624519898SXuan Hu  fpDq.io.redirect <> s2_s4_redirect
55724519898SXuan Hu
55860f0c5aeSxiaofeibao  vecDq.io.enq <> dispatch.io.toVecDq
55960f0c5aeSxiaofeibao  vecDq.io.redirect <> s2_s4_redirect
56060f0c5aeSxiaofeibao
56124519898SXuan Hu  lsDq.io.enq <> dispatch.io.toLsDq
56224519898SXuan Hu  lsDq.io.redirect <> s2_s4_redirect
56324519898SXuan Hu
564ff3fcdf1Sxiaofeibao-xjtu  io.toIssueBlock.intUops <> (intDq0.io.deq :++ intDq1.io.deq)
56560f0c5aeSxiaofeibao  io.toIssueBlock.fpUops <> fpDq.io.deq
56660f0c5aeSxiaofeibao  io.toIssueBlock.vfUops  <> vecDq.io.deq
56724519898SXuan Hu  io.toIssueBlock.memUops <> lsDq.io.deq
56824519898SXuan Hu  io.toIssueBlock.allocPregs <> dispatch.io.allocPregs
56924519898SXuan Hu  io.toIssueBlock.flush   <> s2_s4_redirect
57024519898SXuan Hu
5715f8b6c9eSsinceforYy  pcMem.io.wen.head   := GatedValidRegNext(io.frontend.fromFtq.pc_mem_wen)
572f533cba7SHuSipeng  pcMem.io.waddr.head := RegEnable(io.frontend.fromFtq.pc_mem_waddr, io.frontend.fromFtq.pc_mem_wen)
5733827c997SsinceforYy  pcMem.io.wdata.head := RegEnable(io.frontend.fromFtq.pc_mem_wdata, io.frontend.fromFtq.pc_mem_wen)
57424519898SXuan Hu
57524519898SXuan Hu  io.toDataPath.flush := s2_s4_redirect
57624519898SXuan Hu  io.toExuBlock.flush := s2_s4_redirect
57724519898SXuan Hu
57824519898SXuan Hu
57924519898SXuan Hu  rob.io.hartId := io.fromTop.hartId
58024519898SXuan Hu  rob.io.redirect := s1_s3_redirect
58124519898SXuan Hu  rob.io.writeback := delayedNotFlushedWriteBack
582bd5909d0Sxiaofeibao-xjtu  rob.io.exuWriteback := delayedWriteBack
58385f51ecaSxiaofeibao-xjtu  rob.io.writebackNums := VecInit(delayedNotFlushedWriteBackNums)
584571677c9Sxiaofeibao-xjtu  rob.io.writebackNeedFlush := delayedNotFlushedWriteBackNeedFlush
5856f483f86SXuan Hu  rob.io.readGPAMemData := gpaMem.io.exceptionReadData
58624519898SXuan Hu
58724519898SXuan Hu  io.redirect := s1_s3_redirect
58824519898SXuan Hu
58924519898SXuan Hu  // rob to int block
59024519898SXuan Hu  io.robio.csr <> rob.io.csr
59124519898SXuan Hu  // When wfi is disabled, it will not block ROB commit.
59224519898SXuan Hu  rob.io.csr.wfiEvent := io.robio.csr.wfiEvent
59324519898SXuan Hu  rob.io.wfi_enable := decode.io.csrCtrl.wfi_enable
59424519898SXuan Hu
59524519898SXuan Hu  io.toTop.cpuHalt := DelayN(rob.io.cpu_halt, 5)
59624519898SXuan Hu
59724519898SXuan Hu  io.robio.csr.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr)
59824519898SXuan Hu  io.robio.exception := rob.io.exception
59924519898SXuan Hu  io.robio.exception.bits.pc := s1_robFlushPc
60024519898SXuan Hu
60124519898SXuan Hu  // rob to mem block
60224519898SXuan Hu  io.robio.lsq <> rob.io.lsq
60324519898SXuan Hu
604b7d9e8d5Sxiaofeibao-xjtu  io.debug_int_rat    .foreach(_ := rat.io.diff_int_rat.get)
605b7d9e8d5Sxiaofeibao-xjtu  io.debug_fp_rat     .foreach(_ := rat.io.diff_fp_rat.get)
606b7d9e8d5Sxiaofeibao-xjtu  io.debug_vec_rat    .foreach(_ := rat.io.diff_vec_rat.get)
607368cbcecSxiaofeibao  io.debug_v0_rat.foreach(_ := rat.io.diff_v0_rat.get)
608368cbcecSxiaofeibao  io.debug_vl_rat.foreach(_ := rat.io.diff_vl_rat.get)
60924519898SXuan Hu
61017b21f45SHaojin Tang  rob.io.debug_ls := io.robio.debug_ls
61117b21f45SHaojin Tang  rob.io.debugHeadLsIssue := io.robio.robHeadLsIssue
61217b21f45SHaojin Tang  rob.io.lsTopdownInfo := io.robio.lsTopdownInfo
6136ce10964SXuan Hu  rob.io.debugEnqLsq := io.debugEnqLsq
6146ce10964SXuan Hu
61517b21f45SHaojin Tang  io.robio.robDeqPtr := rob.io.robDeqPtr
6168744445eSMaxpicca-Li
6177e4f0b19SZiyue-Zhang  // rob to backend
6187e4f0b19SZiyue-Zhang  io.robio.commitVType := rob.io.toDecode.commitVType
6197e4f0b19SZiyue-Zhang  // exu block to decode
620d8a50338SZiyue Zhang  decode.io.vsetvlVType := io.toDecode.vsetvlVType
6215110577fSZiyue Zhang  // backend to decode
6225110577fSZiyue Zhang  decode.io.vstart := io.toDecode.vstart
6235110577fSZiyue Zhang  // backend to rob
6245110577fSZiyue Zhang  rob.io.vstartIsZero := io.toDecode.vstart === 0.U
6257e4f0b19SZiyue-Zhang
62660ebee38STang Haojin  io.debugTopDown.fromRob := rob.io.debugTopDown.toCore
62760ebee38STang Haojin  dispatch.io.debugTopDown.fromRob := rob.io.debugTopDown.toDispatch
62860ebee38STang Haojin  dispatch.io.debugTopDown.fromCore := io.debugTopDown.fromCore
6297cf78eb2Shappy-lx  io.debugRolling := rob.io.debugRolling
63060ebee38STang Haojin
6315f8b6c9eSsinceforYy  io.perfInfo.ctrlInfo.robFull := GatedValidRegNext(rob.io.robFull)
6325f8b6c9eSsinceforYy  io.perfInfo.ctrlInfo.intdqFull := GatedValidRegNext(intDq0.io.dqFull || intDq1.io.dqFull)
63360f0c5aeSxiaofeibao  io.perfInfo.ctrlInfo.fpdqFull := GatedValidRegNext(vecDq.io.dqFull)
6345f8b6c9eSsinceforYy  io.perfInfo.ctrlInfo.lsdqFull := GatedValidRegNext(lsDq.io.dqFull)
63524519898SXuan Hu
636e1a85e9fSchengguanghui  val perfEvents = Seq(decode, rename, dispatch, intDq0, intDq1, vecDq, lsDq, rob).flatMap(_.getPerfEvents)
63724519898SXuan Hu  generatePerfEvent()
63824519898SXuan Hu}
63924519898SXuan Hu
64024519898SXuan Huclass CtrlBlockIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
64124519898SXuan Hu  val fromTop = new Bundle {
64224519898SXuan Hu    val hartId = Input(UInt(8.W))
64324519898SXuan Hu  }
64424519898SXuan Hu  val toTop = new Bundle {
64524519898SXuan Hu    val cpuHalt = Output(Bool())
64624519898SXuan Hu  }
64724519898SXuan Hu  val frontend = Flipped(new FrontendToCtrlIO())
64815ed99a7SXuan Hu  val fromCSR = new Bundle{
64915ed99a7SXuan Hu    val toDecode = Input(new CSRToDecode)
65015ed99a7SXuan Hu  }
65124519898SXuan Hu  val toIssueBlock = new Bundle {
65224519898SXuan Hu    val flush = ValidIO(new Redirect)
65324519898SXuan Hu    val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq))
65424519898SXuan Hu    val intUops = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new DynInst))
65560f0c5aeSxiaofeibao    val vfUops = Vec(dpParams.VecDqDeqWidth, DecoupledIO(new DynInst))
65660f0c5aeSxiaofeibao    val fpUops = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new DynInst))
65724519898SXuan Hu    val memUops = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new DynInst))
65824519898SXuan Hu  }
65924519898SXuan Hu  val toDataPath = new Bundle {
66024519898SXuan Hu    val flush = ValidIO(new Redirect)
66124519898SXuan Hu  }
66224519898SXuan Hu  val toExuBlock = new Bundle {
66324519898SXuan Hu    val flush = ValidIO(new Redirect)
66424519898SXuan Hu  }
66582674533Sxiaofeibao  val intIQValidNumVec = Input(MixedVec(params.genIntIQValidNumBundle))
66682674533Sxiaofeibao  val fpIQValidNumVec = Input(MixedVec(params.genFpIQValidNumBundle))
66724519898SXuan Hu  val fromWB = new Bundle {
66824519898SXuan Hu    val wbData = Flipped(MixedVec(params.genWrite2CtrlBundles))
66924519898SXuan Hu  }
67024519898SXuan Hu  val redirect = ValidIO(new Redirect)
67124519898SXuan Hu  val fromMem = new Bundle {
672272ec6b1SHaojin Tang    val stIn = Vec(params.StaExuCnt, Flipped(ValidIO(new DynInst))) // use storeSetHit, ssid, robIdx
67324519898SXuan Hu    val violation = Flipped(ValidIO(new Redirect))
67424519898SXuan Hu  }
67524519898SXuan Hu  val memLdPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W))))
67683ba63b3SXuan Hu  val memStPcRead = Vec(params.StaCnt, Flipped(new FtqRead(UInt(VAddrBits.W))))
677b133b458SXuan Hu  val memHyPcRead = Vec(params.HyuCnt, Flipped(new FtqRead(UInt(VAddrBits.W))))
6784b0d80d8SXuan Hu
67924519898SXuan Hu  val csrCtrl = Input(new CustomCSRCtrlIO)
68024519898SXuan Hu  val robio = new Bundle {
68124519898SXuan Hu    val csr = new RobCSRIO
68224519898SXuan Hu    val exception = ValidIO(new ExceptionInfo)
68324519898SXuan Hu    val lsq = new RobLsqIO
6846810d1e8Ssfencevma    val lsTopdownInfo = Vec(params.LduCnt + params.HyuCnt, Input(new LsTopdownInfo))
6852326221cSXuan Hu    val debug_ls = Input(new DebugLSIO())
68617b21f45SHaojin Tang    val robHeadLsIssue = Input(Bool())
68717b21f45SHaojin Tang    val robDeqPtr = Output(new RobPtr)
6887e4f0b19SZiyue-Zhang    val commitVType = new Bundle {
6897e4f0b19SZiyue-Zhang      val vtype = Output(ValidIO(VType()))
6907e4f0b19SZiyue-Zhang      val hasVsetvl = Output(Bool())
6917e4f0b19SZiyue-Zhang    }
69224519898SXuan Hu  }
69324519898SXuan Hu
694d8a50338SZiyue Zhang  val toDecode = new Bundle {
695d8a50338SZiyue Zhang    val vsetvlVType = Input(VType())
6965110577fSZiyue Zhang    val vstart = Input(Vl())
697d8a50338SZiyue Zhang  }
698d8a50338SZiyue Zhang
69924519898SXuan Hu  val perfInfo = Output(new Bundle{
70024519898SXuan Hu    val ctrlInfo = new Bundle {
70124519898SXuan Hu      val robFull   = Bool()
70224519898SXuan Hu      val intdqFull = Bool()
70324519898SXuan Hu      val fpdqFull  = Bool()
70424519898SXuan Hu      val lsdqFull  = Bool()
70524519898SXuan Hu    }
70624519898SXuan Hu  })
707b7d9e8d5Sxiaofeibao-xjtu  val debug_int_rat     = if (params.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
708b7d9e8d5Sxiaofeibao-xjtu  val debug_fp_rat      = if (params.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
709d1e473c9Sxiaofeibao  val debug_vec_rat     = if (params.debugEn) Some(Vec(31, Output(UInt(PhyRegIdxWidth.W)))) else None
710d1e473c9Sxiaofeibao  val debug_v0_rat      = if (params.debugEn) Some(Vec(1, Output(UInt(PhyRegIdxWidth.W)))) else None
711d1e473c9Sxiaofeibao  val debug_vl_rat      = if (params.debugEn) Some(Vec(1, Output(UInt(PhyRegIdxWidth.W)))) else None
71224519898SXuan Hu
713c61abc0cSXuan Hu  val sqCanAccept = Input(Bool())
714c61abc0cSXuan Hu  val lqCanAccept = Input(Bool())
7154b0d80d8SXuan Hu
7164b0d80d8SXuan Hu  val debugTopDown = new Bundle {
7174b0d80d8SXuan Hu    val fromRob = new RobCoreTopDownIO
7184b0d80d8SXuan Hu    val fromCore = new CoreDispatchTopDownIO
7194b0d80d8SXuan Hu  }
7204b0d80d8SXuan Hu  val debugRolling = new RobDebugRollingIO
7216ce10964SXuan Hu  val debugEnqLsq = Input(new LsqEnqIO)
72224519898SXuan Hu}
72324519898SXuan Hu
72424519898SXuan Huclass NamedIndexes(namedCnt: Seq[(String, Int)]) {
72524519898SXuan Hu  require(namedCnt.map(_._1).distinct.size == namedCnt.size, "namedCnt should not have the same name")
72624519898SXuan Hu
72724519898SXuan Hu  val maxIdx = namedCnt.map(_._2).sum
72824519898SXuan Hu  val nameRangeMap: Map[String, (Int, Int)] = namedCnt.indices.map { i =>
72924519898SXuan Hu    val begin = namedCnt.slice(0, i).map(_._2).sum
73024519898SXuan Hu    val end = begin + namedCnt(i)._2
73124519898SXuan Hu    (namedCnt(i)._1, (begin, end))
73224519898SXuan Hu  }.toMap
73324519898SXuan Hu
73424519898SXuan Hu  def apply(name: String): Seq[Int] = {
73524519898SXuan Hu    require(nameRangeMap.contains(name))
73624519898SXuan Hu    nameRangeMap(name)._1 until nameRangeMap(name)._2
73724519898SXuan Hu  }
73824519898SXuan Hu}
739