124519898SXuan Hu/*************************************************************************************** 224519898SXuan Hu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 324519898SXuan Hu* Copyright (c) 2020-2021 Peng Cheng Laboratory 424519898SXuan Hu* 524519898SXuan Hu* XiangShan is licensed under Mulan PSL v2. 624519898SXuan Hu* You can use this software according to the terms and conditions of the Mulan PSL v2. 724519898SXuan Hu* You may obtain a copy of Mulan PSL v2 at: 824519898SXuan Hu* http://license.coscl.org.cn/MulanPSL2 924519898SXuan Hu* 1024519898SXuan Hu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 1124519898SXuan Hu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 1224519898SXuan Hu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 1324519898SXuan Hu* 1424519898SXuan Hu* See the Mulan PSL v2 for more details. 1524519898SXuan Hu***************************************************************************************/ 1624519898SXuan Hu 1724519898SXuan Hupackage xiangshan.backend 1824519898SXuan Hu 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 2024519898SXuan Huimport chisel3._ 2124519898SXuan Huimport chisel3.util._ 2224519898SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 2324519898SXuan Huimport utility._ 2424519898SXuan Huimport utils._ 2524519898SXuan Huimport xiangshan.ExceptionNO._ 2624519898SXuan Huimport xiangshan._ 2724519898SXuan Huimport xiangshan.backend.Bundles.{DecodedInst, DynInst, ExceptionInfo, ExuOutput} 282326221cSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfoBundle, LsTopdownInfo, MemCtrl, RedirectGenerator} 2924519898SXuan Huimport xiangshan.backend.datapath.DataConfig.VAddrData 3024519898SXuan Huimport xiangshan.backend.decode.{DecodeStage, FusionDecoder} 3183ba63b3SXuan Huimport xiangshan.backend.dispatch.{CoreDispatchTopDownIO, Dispatch, DispatchQueue} 3224519898SXuan Huimport xiangshan.backend.fu.PFEvent 3324519898SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType 34870f462dSXuan Huimport xiangshan.backend.rename.{Rename, RenameTableWrapper, SnapshotGenerator} 3583ba63b3SXuan Huimport xiangshan.backend.rob.{Rob, RobCSRIO, RobCoreTopDownIO, RobDebugRollingIO, RobLsqIO, RobPtr} 366ce10964SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead, Ftq_RF_Components} 376ce10964SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO} 3824519898SXuan Hu 3924519898SXuan Huclass CtrlToFtqIO(implicit p: Parameters) extends XSBundle { 4024519898SXuan Hu val rob_commits = Vec(CommitWidth, Valid(new RobCommitInfo)) 4124519898SXuan Hu val redirect = Valid(new Redirect) 429342624fSGao-Zeyu val ftqIdxAhead = Vec(BackendRedirectNum, Valid(new FtqPtr)) 439342624fSGao-Zeyu val ftqIdxSelOH = Valid(UInt((BackendRedirectNum).W)) 4424519898SXuan Hu} 4524519898SXuan Hu 4624519898SXuan Huclass CtrlBlock(params: BackendParams)(implicit p: Parameters) extends LazyModule { 471ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 481ca4a39dSXuan Hu 4924519898SXuan Hu val rob = LazyModule(new Rob(params)) 5024519898SXuan Hu 5124519898SXuan Hu lazy val module = new CtrlBlockImp(this)(p, params) 5224519898SXuan Hu 5324519898SXuan Hu} 5424519898SXuan Hu 5524519898SXuan Huclass CtrlBlockImp( 5624519898SXuan Hu override val wrapper: CtrlBlock 5724519898SXuan Hu)(implicit 5824519898SXuan Hu p: Parameters, 5924519898SXuan Hu params: BackendParams 6024519898SXuan Hu) extends LazyModuleImp(wrapper) 6124519898SXuan Hu with HasXSParameter 6224519898SXuan Hu with HasCircularQueuePtrHelper 6324519898SXuan Hu with HasPerfEvents 6424519898SXuan Hu{ 6524519898SXuan Hu val pcMemRdIndexes = new NamedIndexes(Seq( 6624519898SXuan Hu "exu" -> params.numPcReadPort, 6724519898SXuan Hu "redirect" -> 1, 6824519898SXuan Hu "memPred" -> 1, 6924519898SXuan Hu "robFlush" -> 1, 7024519898SXuan Hu "load" -> params.LduCnt, 71b133b458SXuan Hu "hybrid" -> params.HyuCnt, 7283ba63b3SXuan Hu "store" -> (if(EnableStorePrefetchSMS) params.StaCnt else 0) 7324519898SXuan Hu )) 7424519898SXuan Hu 7524519898SXuan Hu private val numPcMemReadForExu = params.numPcReadPort 7624519898SXuan Hu private val numPcMemRead = pcMemRdIndexes.maxIdx 7724519898SXuan Hu 7824519898SXuan Hu println(s"pcMem read num: $numPcMemRead") 7924519898SXuan Hu println(s"pcMem read num for exu: $numPcMemReadForExu") 8024519898SXuan Hu 8124519898SXuan Hu val io = IO(new CtrlBlockIO()) 8224519898SXuan Hu 8324519898SXuan Hu val decode = Module(new DecodeStage) 8424519898SXuan Hu val fusionDecoder = Module(new FusionDecoder) 8524519898SXuan Hu val rat = Module(new RenameTableWrapper) 8624519898SXuan Hu val rename = Module(new Rename) 8724519898SXuan Hu val dispatch = Module(new Dispatch) 8824519898SXuan Hu val intDq = Module(new DispatchQueue(dpParams.IntDqSize, RenameWidth, dpParams.IntDqDeqWidth)) 8924519898SXuan Hu val fpDq = Module(new DispatchQueue(dpParams.FpDqSize, RenameWidth, dpParams.FpDqDeqWidth)) 9024519898SXuan Hu val lsDq = Module(new DispatchQueue(dpParams.LsDqSize, RenameWidth, dpParams.LsDqDeqWidth)) 9124519898SXuan Hu val redirectGen = Module(new RedirectGenerator) 9224519898SXuan Hu private val pcMem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, numPcMemRead, 1, "BackendPC")) 9324519898SXuan Hu private val rob = wrapper.rob.module 9424519898SXuan Hu private val memCtrl = Module(new MemCtrl(params)) 9524519898SXuan Hu 9624519898SXuan Hu private val disableFusion = decode.io.csrCtrl.singlestep || !decode.io.csrCtrl.fusion_enable 9724519898SXuan Hu 9824519898SXuan Hu private val s0_robFlushRedirect = rob.io.flushOut 9924519898SXuan Hu private val s1_robFlushRedirect = Wire(Valid(new Redirect)) 10024519898SXuan Hu s1_robFlushRedirect.valid := RegNext(s0_robFlushRedirect.valid) 10124519898SXuan Hu s1_robFlushRedirect.bits := RegEnable(s0_robFlushRedirect.bits, s0_robFlushRedirect.valid) 10224519898SXuan Hu 10324519898SXuan Hu pcMem.io.raddr(pcMemRdIndexes("robFlush").head) := s0_robFlushRedirect.bits.ftqIdx.value 10424519898SXuan Hu private val s1_robFlushPc = pcMem.io.rdata(pcMemRdIndexes("robFlush").head).getPc(RegNext(s0_robFlushRedirect.bits.ftqOffset)) 10524519898SXuan Hu private val s3_redirectGen = redirectGen.io.stage2Redirect 10624519898SXuan Hu private val s1_s3_redirect = Mux(s1_robFlushRedirect.valid, s1_robFlushRedirect, s3_redirectGen) 10724519898SXuan Hu private val s2_s4_pendingRedirectValid = RegInit(false.B) 10824519898SXuan Hu when (s1_s3_redirect.valid) { 10924519898SXuan Hu s2_s4_pendingRedirectValid := true.B 11024519898SXuan Hu }.elsewhen (RegNext(io.frontend.toFtq.redirect.valid)) { 11124519898SXuan Hu s2_s4_pendingRedirectValid := false.B 11224519898SXuan Hu } 11324519898SXuan Hu 11424519898SXuan Hu // Redirect will be RegNext at ExuBlocks and IssueBlocks 11524519898SXuan Hu val s2_s4_redirect = RegNextWithEnable(s1_s3_redirect) 11624519898SXuan Hu val s3_s5_redirect = RegNextWithEnable(s2_s4_redirect) 11724519898SXuan Hu 11824519898SXuan Hu private val delayedNotFlushedWriteBack = io.fromWB.wbData.map(x => { 11924519898SXuan Hu val valid = x.valid 12024519898SXuan Hu val killedByOlder = x.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect, s3_s5_redirect)) 12124519898SXuan Hu val delayed = Wire(Valid(new ExuOutput(x.bits.params))) 12224519898SXuan Hu delayed.valid := RegNext(valid && !killedByOlder) 12324519898SXuan Hu delayed.bits := RegEnable(x.bits, x.valid) 12496e858baSXuan Hu delayed.bits.debugInfo.writebackTime := GTimer() 12524519898SXuan Hu delayed 12683ba63b3SXuan Hu }).toSeq 12724519898SXuan Hu 12824519898SXuan Hu private val exuPredecode = VecInit( 12983ba63b3SXuan Hu delayedNotFlushedWriteBack.filter(_.bits.redirect.nonEmpty).map(x => x.bits.predecodeInfo.get).toSeq 13024519898SXuan Hu ) 13124519898SXuan Hu 13283ba63b3SXuan Hu private val exuRedirects: Seq[ValidIO[Redirect]] = delayedNotFlushedWriteBack.filter(_.bits.redirect.nonEmpty).map(x => { 13324519898SXuan Hu val out = Wire(Valid(new Redirect())) 13424519898SXuan Hu out.valid := x.valid && x.bits.redirect.get.valid && x.bits.redirect.get.bits.cfiUpdate.isMisPred 13524519898SXuan Hu out.bits := x.bits.redirect.get.bits 136a63155a6SXuan Hu out.bits.debugIsCtrl := true.B 137a63155a6SXuan Hu out.bits.debugIsMemVio := false.B 13824519898SXuan Hu out 13983ba63b3SXuan Hu }).toSeq 14024519898SXuan Hu 14124519898SXuan Hu private val memViolation = io.fromMem.violation 14224519898SXuan Hu val loadReplay = Wire(ValidIO(new Redirect)) 14324519898SXuan Hu loadReplay.valid := RegNext(memViolation.valid && 14424519898SXuan Hu !memViolation.bits.robIdx.needFlush(Seq(s1_s3_redirect, s2_s4_redirect)) 14524519898SXuan Hu ) 14624519898SXuan Hu loadReplay.bits := RegEnable(memViolation.bits, memViolation.valid) 147a63155a6SXuan Hu loadReplay.bits.debugIsCtrl := false.B 148a63155a6SXuan Hu loadReplay.bits.debugIsMemVio := true.B 14924519898SXuan Hu 15024519898SXuan Hu val pdestReverse = rob.io.commits.info.map(info => info.pdest).reverse 15124519898SXuan Hu 15224519898SXuan Hu pcMem.io.raddr(pcMemRdIndexes("redirect").head) := redirectGen.io.redirectPcRead.ptr.value 15324519898SXuan Hu redirectGen.io.redirectPcRead.data := pcMem.io.rdata(pcMemRdIndexes("redirect").head).getPc(RegNext(redirectGen.io.redirectPcRead.offset)) 15424519898SXuan Hu pcMem.io.raddr(pcMemRdIndexes("memPred").head) := redirectGen.io.memPredPcRead.ptr.value 15524519898SXuan Hu redirectGen.io.memPredPcRead.data := pcMem.io.rdata(pcMemRdIndexes("memPred").head).getPc(RegNext(redirectGen.io.memPredPcRead.offset)) 15624519898SXuan Hu 15724519898SXuan Hu for ((pcMemIdx, i) <- pcMemRdIndexes("load").zipWithIndex) { 15824519898SXuan Hu pcMem.io.raddr(pcMemIdx) := io.memLdPcRead(i).ptr.value 15924519898SXuan Hu io.memLdPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegNext(io.memLdPcRead(i).offset)) 16024519898SXuan Hu } 16124519898SXuan Hu 162b133b458SXuan Hu for ((pcMemIdx, i) <- pcMemRdIndexes("hybrid").zipWithIndex) { 163b133b458SXuan Hu pcMem.io.raddr(pcMemIdx) := io.memHyPcRead(i).ptr.value 164b133b458SXuan Hu io.memHyPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegNext(io.memHyPcRead(i).offset)) 165b133b458SXuan Hu } 166b133b458SXuan Hu 1674b0d80d8SXuan Hu if (EnableStorePrefetchSMS) { 1684b0d80d8SXuan Hu for ((pcMemIdx, i) <- pcMemRdIndexes("store").zipWithIndex) { 1694b0d80d8SXuan Hu pcMem.io.raddr(pcMemIdx) := io.memStPcRead(i).ptr.value 1704b0d80d8SXuan Hu io.memStPcRead(i).data := pcMem.io.rdata(pcMemIdx).getPc(RegNext(io.memStPcRead(i).offset)) 1714b0d80d8SXuan Hu } 1724b0d80d8SXuan Hu } else { 17383ba63b3SXuan Hu io.memStPcRead.foreach(_.data := 0.U) 1744b0d80d8SXuan Hu } 1754b0d80d8SXuan Hu 17624519898SXuan Hu redirectGen.io.hartId := io.fromTop.hartId 17783ba63b3SXuan Hu redirectGen.io.exuRedirect := exuRedirects.toSeq 1784b0d80d8SXuan Hu redirectGen.io.exuOutPredecode := exuPredecode // guarded by exuRedirect.valid 17924519898SXuan Hu redirectGen.io.loadReplay <> loadReplay 18024519898SXuan Hu 18124519898SXuan Hu redirectGen.io.robFlush := s1_robFlushRedirect.valid 18224519898SXuan Hu 183ff7f931dSXuan Hu val s5_flushFromRobValidAhead = DelayN(s1_robFlushRedirect.valid, 4) 184ff7f931dSXuan Hu val s6_flushFromRobValid = RegNext(s5_flushFromRobValidAhead) 18524519898SXuan Hu val frontendFlushBits = RegEnable(s1_robFlushRedirect.bits, s1_robFlushRedirect.valid) // ?? 18624519898SXuan Hu // When ROB commits an instruction with a flush, we notify the frontend of the flush without the commit. 18724519898SXuan Hu // Flushes to frontend may be delayed by some cycles and commit before flush causes errors. 18824519898SXuan Hu // Thus, we make all flush reasons to behave the same as exceptions for frontend. 18924519898SXuan Hu for (i <- 0 until CommitWidth) { 19024519898SXuan Hu // why flushOut: instructions with flushPipe are not commited to frontend 19124519898SXuan Hu // If we commit them to frontend, it will cause flush after commit, which is not acceptable by frontend. 19224519898SXuan Hu val s1_isCommit = rob.io.commits.commitValid(i) && rob.io.commits.isCommit && !s0_robFlushRedirect.valid 19324519898SXuan Hu io.frontend.toFtq.rob_commits(i).valid := RegNext(s1_isCommit) 19424519898SXuan Hu io.frontend.toFtq.rob_commits(i).bits := RegEnable(rob.io.commits.info(i), s1_isCommit) 19524519898SXuan Hu } 196ff7f931dSXuan Hu io.frontend.toFtq.redirect.valid := s6_flushFromRobValid || s3_redirectGen.valid 197ff7f931dSXuan Hu io.frontend.toFtq.redirect.bits := Mux(s6_flushFromRobValid, frontendFlushBits, s3_redirectGen.bits) 198ff7f931dSXuan Hu io.frontend.toFtq.ftqIdxSelOH.valid := s6_flushFromRobValid || redirectGen.io.stage2Redirect.valid 199ff7f931dSXuan Hu io.frontend.toFtq.ftqIdxSelOH.bits := Cat(s6_flushFromRobValid, redirectGen.io.stage2oldestOH & Fill(NumRedirect + 1, !s6_flushFromRobValid)) 2009342624fSGao-Zeyu 2019342624fSGao-Zeyu //jmp/brh 2029342624fSGao-Zeyu for (i <- 0 until NumRedirect) { 203ff7f931dSXuan Hu io.frontend.toFtq.ftqIdxAhead(i).valid := exuRedirects(i).valid && exuRedirects(i).bits.cfiUpdate.isMisPred && !s1_robFlushRedirect.valid && !s5_flushFromRobValidAhead 2046ce10964SXuan Hu io.frontend.toFtq.ftqIdxAhead(i).bits := exuRedirects(i).bits.ftqIdx 2059342624fSGao-Zeyu } 2069342624fSGao-Zeyu //loadreplay 207ff7f931dSXuan Hu io.frontend.toFtq.ftqIdxAhead(NumRedirect).valid := loadReplay.valid && !s1_robFlushRedirect.valid && !s5_flushFromRobValidAhead 2089342624fSGao-Zeyu io.frontend.toFtq.ftqIdxAhead(NumRedirect).bits := loadReplay.bits.ftqIdx 2099342624fSGao-Zeyu //exception 210ff7f931dSXuan Hu io.frontend.toFtq.ftqIdxAhead.last.valid := s5_flushFromRobValidAhead 2119342624fSGao-Zeyu io.frontend.toFtq.ftqIdxAhead.last.bits := frontendFlushBits.ftqIdx 21224519898SXuan Hu // Be careful here: 21324519898SXuan Hu // T0: rob.io.flushOut, s0_robFlushRedirect 21424519898SXuan Hu // T1: s1_robFlushRedirect, rob.io.exception.valid 21524519898SXuan Hu // T2: csr.redirect.valid 21624519898SXuan Hu // T3: csr.exception.valid 21724519898SXuan Hu // T4: csr.trapTarget 21824519898SXuan Hu // T5: ctrlBlock.trapTarget 21924519898SXuan Hu // T6: io.frontend.toFtq.stage2Redirect.valid 22024519898SXuan Hu val s2_robFlushPc = RegEnable(Mux(s1_robFlushRedirect.bits.flushItself(), 22124519898SXuan Hu s1_robFlushPc, // replay inst 222870f462dSXuan Hu s1_robFlushPc + Mux(s1_robFlushRedirect.bits.isRVC, 2.U, 4.U) // flush pipe 22324519898SXuan Hu ), s1_robFlushRedirect.valid) 22424519898SXuan Hu private val s2_csrIsXRet = io.robio.csr.isXRet 22524519898SXuan Hu private val s5_csrIsTrap = DelayN(rob.io.exception.valid, 4) 22624519898SXuan Hu private val s2_s5_trapTargetFromCsr = io.robio.csr.trapTarget 22724519898SXuan Hu 22824519898SXuan Hu val flushTarget = Mux(s2_csrIsXRet || s5_csrIsTrap, s2_s5_trapTargetFromCsr, s2_robFlushPc) 229ff7f931dSXuan Hu when (s6_flushFromRobValid) { 23024519898SXuan Hu io.frontend.toFtq.redirect.bits.level := RedirectLevel.flush 23124519898SXuan Hu io.frontend.toFtq.redirect.bits.cfiUpdate.target := RegNext(flushTarget) 23224519898SXuan Hu } 23324519898SXuan Hu 23424519898SXuan Hu // vtype commit 23524519898SXuan Hu decode.io.commitVType.bits := io.fromDataPath.vtype 23624519898SXuan Hu decode.io.commitVType.valid := RegNext(rob.io.isVsetFlushPipe) 23724519898SXuan Hu 23824519898SXuan Hu io.toDataPath.vtypeAddr := rob.io.vconfigPdest 23924519898SXuan Hu 24024519898SXuan Hu // vtype walk 24124519898SXuan Hu val isVsetSeq = rob.io.commits.walkValid.zip(rob.io.commits.info).map { case (valid, info) => valid && info.isVset }.reverse 24224519898SXuan Hu val walkVTypeReverse = rob.io.commits.info.map(info => info.vtype).reverse 24324519898SXuan Hu val walkVType = PriorityMux(isVsetSeq, walkVTypeReverse) 24424519898SXuan Hu 24524519898SXuan Hu decode.io.walkVType.bits := walkVType.asTypeOf(new VType) 24624519898SXuan Hu decode.io.walkVType.valid := rob.io.commits.isWalk && isVsetSeq.reduce(_ || _) 24724519898SXuan Hu 248*e25c13faSXuan Hu decode.io.redirect := s1_s3_redirect.valid || s2_s4_pendingRedirectValid 24924519898SXuan Hu 25024519898SXuan Hu decode.io.in.zip(io.frontend.cfVec).foreach { case (decodeIn, frontendCf) => 25124519898SXuan Hu decodeIn.valid := frontendCf.valid 25224519898SXuan Hu frontendCf.ready := decodeIn.ready 25324519898SXuan Hu decodeIn.bits.connectCtrlFlow(frontendCf.bits) 25424519898SXuan Hu } 25524519898SXuan Hu decode.io.csrCtrl := RegNext(io.csrCtrl) 25624519898SXuan Hu decode.io.intRat <> rat.io.intReadPorts 25724519898SXuan Hu decode.io.fpRat <> rat.io.fpReadPorts 25824519898SXuan Hu decode.io.vecRat <> rat.io.vecReadPorts 25924519898SXuan Hu decode.io.fusion := 0.U.asTypeOf(decode.io.fusion) // Todo 260870f462dSXuan Hu decode.io.stallReason.in <> io.frontend.stallReason 26124519898SXuan Hu 262fa7f2c26STang Haojin // snapshot check 263c4b56310SHaojin Tang class CFIRobIdx extends Bundle { 264c4b56310SHaojin Tang val robIdx = Vec(RenameWidth, new RobPtr) 265c4b56310SHaojin Tang val isCFI = Vec(RenameWidth, Bool()) 266c4b56310SHaojin Tang } 267c4b56310SHaojin Tang val genSnapshot = Cat(rename.io.out.map(out => out.fire && out.bits.snapshot)).orR 268c4b56310SHaojin Tang val snpt = Module(new SnapshotGenerator(0.U.asTypeOf(new CFIRobIdx))) 269c4b56310SHaojin Tang snpt.io.enq := genSnapshot 270c4b56310SHaojin Tang snpt.io.enqData.robIdx := rename.io.out.map(_.bits.robIdx) 271c4b56310SHaojin Tang snpt.io.enqData.isCFI := rename.io.out.map(_.bits.snapshot) 272fa7f2c26STang Haojin snpt.io.deq := snpt.io.valids(snpt.io.deqPtr.value) && rob.io.commits.isCommit && 273c4b56310SHaojin Tang Cat(rob.io.commits.commitValid.zip(rob.io.commits.robIdx).map(x => x._1 && x._2 === snpt.io.snapshots(snpt.io.deqPtr.value).robIdx.head)).orR 274c4b56310SHaojin Tang snpt.io.redirect := s1_s3_redirect.valid 275c4b56310SHaojin Tang val flushVec = VecInit(snpt.io.snapshots.map { snapshot => 276c4b56310SHaojin Tang val notCFIMask = snapshot.isCFI.map(~_) 27771d80353SHaojin Tang val shouldFlushMask = snapshot.robIdx.map(snptRobIdx => snptRobIdx >= s1_s3_redirect.bits.robIdx || isFull(snptRobIdx, s1_s3_redirect.bits.robIdx)) 2783d5ff993SHaojin Tang val realShouldFlush = (1 to RenameWidth).map(i => Cat(shouldFlushMask.take(i)).orR) 2793d5ff993SHaojin Tang s1_s3_redirect.valid && Cat(realShouldFlush.zip(notCFIMask).map(x => x._1 | x._2)).andR 280c4b56310SHaojin Tang }) 281c4b56310SHaojin Tang val flushVecNext = RegNext(flushVec, 0.U.asTypeOf(flushVec)) 282c4b56310SHaojin Tang snpt.io.flushVec := flushVecNext 283fa7f2c26STang Haojin 284fa7f2c26STang Haojin val useSnpt = VecInit.tabulate(RenameSnapshotNum)(idx => 285c4b56310SHaojin Tang snpt.io.valids(idx) && s1_s3_redirect.bits.robIdx >= snpt.io.snapshots(idx).robIdx.head 286c61abc0cSXuan Hu ).reduceTree(_ || _) 287c61abc0cSXuan Hu val snptSelect = MuxCase( 288c61abc0cSXuan Hu 0.U(log2Ceil(RenameSnapshotNum).W), 289fa7f2c26STang Haojin (1 to RenameSnapshotNum).map(i => (snpt.io.enqPtr - i.U).value).map(idx => 290c4b56310SHaojin Tang (snpt.io.valids(idx) && s1_s3_redirect.bits.robIdx >= snpt.io.snapshots(idx).robIdx.head, idx) 291c61abc0cSXuan Hu ) 292c61abc0cSXuan Hu ) 293fa7f2c26STang Haojin 294fa7f2c26STang Haojin rob.io.snpt.snptEnq := DontCare 295fa7f2c26STang Haojin rob.io.snpt.snptDeq := snpt.io.deq 296fa7f2c26STang Haojin rob.io.snpt.useSnpt := useSnpt 297fa7f2c26STang Haojin rob.io.snpt.snptSelect := snptSelect 298c4b56310SHaojin Tang rob.io.snpt.flushVec := flushVecNext 299c4b56310SHaojin Tang rat.io.snpt.snptEnq := genSnapshot 300fa7f2c26STang Haojin rat.io.snpt.snptDeq := snpt.io.deq 301fa7f2c26STang Haojin rat.io.snpt.useSnpt := useSnpt 302fa7f2c26STang Haojin rat.io.snpt.snptSelect := snptSelect 303c4b56310SHaojin Tang rat.io.snpt.flushVec := flushVec 304fa7f2c26STang Haojin 30524519898SXuan Hu val decodeHasException = decode.io.out.map(x => x.bits.exceptionVec(instrPageFault) || x.bits.exceptionVec(instrAccessFault)) 30624519898SXuan Hu // fusion decoder 30724519898SXuan Hu for (i <- 0 until DecodeWidth) { 30824519898SXuan Hu fusionDecoder.io.in(i).valid := decode.io.out(i).valid && !(decodeHasException(i) || disableFusion) 30924519898SXuan Hu fusionDecoder.io.in(i).bits := decode.io.out(i).bits.instr 31024519898SXuan Hu if (i > 0) { 31124519898SXuan Hu fusionDecoder.io.inReady(i - 1) := decode.io.out(i).ready 31224519898SXuan Hu } 31324519898SXuan Hu } 31424519898SXuan Hu 31524519898SXuan Hu private val decodePipeRename = Wire(Vec(RenameWidth, DecoupledIO(new DecodedInst))) 31624519898SXuan Hu 31724519898SXuan Hu for (i <- 0 until RenameWidth) { 31824519898SXuan Hu PipelineConnect(decode.io.out(i), decodePipeRename(i), rename.io.in(i).ready, 31924519898SXuan Hu s1_s3_redirect.valid || s2_s4_pendingRedirectValid, moduleName = Some("decodePipeRenameModule")) 32024519898SXuan Hu 32124519898SXuan Hu decodePipeRename(i).ready := rename.io.in(i).ready 32224519898SXuan Hu rename.io.in(i).valid := decodePipeRename(i).valid && !fusionDecoder.io.clear(i) 32324519898SXuan Hu rename.io.in(i).bits := decodePipeRename(i).bits 32424519898SXuan Hu } 32524519898SXuan Hu 32624519898SXuan Hu for (i <- 0 until RenameWidth - 1) { 32724519898SXuan Hu fusionDecoder.io.dec(i) := decodePipeRename(i).bits 32824519898SXuan Hu rename.io.fusionInfo(i) := fusionDecoder.io.info(i) 32924519898SXuan Hu 33024519898SXuan Hu // update the first RenameWidth - 1 instructions 33124519898SXuan Hu decode.io.fusion(i) := fusionDecoder.io.out(i).valid && rename.io.out(i).fire 33224519898SXuan Hu when (fusionDecoder.io.out(i).valid) { 33324519898SXuan Hu fusionDecoder.io.out(i).bits.update(rename.io.in(i).bits) 33424519898SXuan Hu // TODO: remove this dirty code for ftq update 33524519898SXuan Hu val sameFtqPtr = rename.io.in(i).bits.ftqPtr.value === rename.io.in(i + 1).bits.ftqPtr.value 33624519898SXuan Hu val ftqOffset0 = rename.io.in(i).bits.ftqOffset 33724519898SXuan Hu val ftqOffset1 = rename.io.in(i + 1).bits.ftqOffset 33824519898SXuan Hu val ftqOffsetDiff = ftqOffset1 - ftqOffset0 33924519898SXuan Hu val cond1 = sameFtqPtr && ftqOffsetDiff === 1.U 34024519898SXuan Hu val cond2 = sameFtqPtr && ftqOffsetDiff === 2.U 34124519898SXuan Hu val cond3 = !sameFtqPtr && ftqOffset1 === 0.U 34224519898SXuan Hu val cond4 = !sameFtqPtr && ftqOffset1 === 1.U 34324519898SXuan Hu rename.io.in(i).bits.commitType := Mux(cond1, 4.U, Mux(cond2, 5.U, Mux(cond3, 6.U, 7.U))) 34424519898SXuan Hu XSError(!cond1 && !cond2 && !cond3 && !cond4, p"new condition $sameFtqPtr $ftqOffset0 $ftqOffset1\n") 34524519898SXuan Hu } 34624519898SXuan Hu 34724519898SXuan Hu } 34824519898SXuan Hu 34924519898SXuan Hu // memory dependency predict 35024519898SXuan Hu // when decode, send fold pc to mdp 35124519898SXuan Hu private val mdpFlodPcVec = Wire(Vec(DecodeWidth, UInt(MemPredPCWidth.W))) 35224519898SXuan Hu for (i <- 0 until DecodeWidth) { 35324519898SXuan Hu mdpFlodPcVec(i) := Mux( 35424519898SXuan Hu decode.io.out(i).fire, 35524519898SXuan Hu decode.io.in(i).bits.foldpc, 35624519898SXuan Hu rename.io.in(i).bits.foldpc 35724519898SXuan Hu ) 35824519898SXuan Hu } 35924519898SXuan Hu 36024519898SXuan Hu // currently, we only update mdp info when isReplay 36124519898SXuan Hu memCtrl.io.redirect := s1_s3_redirect 36224519898SXuan Hu memCtrl.io.csrCtrl := io.csrCtrl // RegNext in memCtrl 36324519898SXuan Hu memCtrl.io.stIn := io.fromMem.stIn // RegNext in memCtrl 36424519898SXuan Hu memCtrl.io.memPredUpdate := redirectGen.io.memPredUpdate // RegNext in memCtrl 36524519898SXuan Hu memCtrl.io.mdpFlodPcVec := mdpFlodPcVec 36624519898SXuan Hu memCtrl.io.dispatchLFSTio <> dispatch.io.lfst 36724519898SXuan Hu 36824519898SXuan Hu rat.io.redirect := s1_s3_redirect.valid 36924519898SXuan Hu rat.io.robCommits := rob.io.rabCommits 37024519898SXuan Hu rat.io.diffCommits := rob.io.diffCommits 37124519898SXuan Hu rat.io.intRenamePorts := rename.io.intRenamePorts 37224519898SXuan Hu rat.io.fpRenamePorts := rename.io.fpRenamePorts 37324519898SXuan Hu rat.io.vecRenamePorts := rename.io.vecRenamePorts 37424519898SXuan Hu 37524519898SXuan Hu rename.io.redirect := s1_s3_redirect 37624519898SXuan Hu rename.io.robCommits <> rob.io.rabCommits 37724519898SXuan Hu rename.io.waittable := (memCtrl.io.waitTable2Rename zip decode.io.out).map{ case(waittable2rename, decodeOut) => 37824519898SXuan Hu RegEnable(waittable2rename, decodeOut.fire) 37924519898SXuan Hu } 38024519898SXuan Hu rename.io.ssit := memCtrl.io.ssit2Rename 38124519898SXuan Hu rename.io.intReadPorts := VecInit(rat.io.intReadPorts.map(x => VecInit(x.map(_.data)))) 38224519898SXuan Hu rename.io.fpReadPorts := VecInit(rat.io.fpReadPorts.map(x => VecInit(x.map(_.data)))) 38324519898SXuan Hu rename.io.vecReadPorts := VecInit(rat.io.vecReadPorts.map(x => VecInit(x.map(_.data)))) 384dcf3a679STang Haojin rename.io.int_need_free := rat.io.int_need_free 385dcf3a679STang Haojin rename.io.int_old_pdest := rat.io.int_old_pdest 386dcf3a679STang Haojin rename.io.fp_old_pdest := rat.io.fp_old_pdest 3873cf50307SZiyue Zhang rename.io.vec_old_pdest := rat.io.vec_old_pdest 388b7d9e8d5Sxiaofeibao-xjtu rename.io.debug_int_rat.foreach(_ := rat.io.debug_int_rat.get) 389b7d9e8d5Sxiaofeibao-xjtu rename.io.debug_fp_rat.foreach(_ := rat.io.debug_fp_rat.get) 390b7d9e8d5Sxiaofeibao-xjtu rename.io.debug_vec_rat.foreach(_ := rat.io.debug_vec_rat.get) 391b7d9e8d5Sxiaofeibao-xjtu rename.io.debug_vconfig_rat.foreach(_ := rat.io.debug_vconfig_rat.get) 392d2b20d1aSTang Haojin rename.io.stallReason.in <> decode.io.stallReason.out 393870f462dSXuan Hu rename.io.snpt.snptEnq := DontCare 394870f462dSXuan Hu rename.io.snpt.snptDeq := snpt.io.deq 395870f462dSXuan Hu rename.io.snpt.useSnpt := useSnpt 396870f462dSXuan Hu rename.io.snpt.snptSelect := snptSelect 397c4b56310SHaojin Tang rename.io.snpt.flushVec := flushVecNext 398c4b56310SHaojin Tang rename.io.snptLastEnq.valid := !isEmpty(snpt.io.enqPtr, snpt.io.deqPtr) 399c4b56310SHaojin Tang rename.io.snptLastEnq.bits := snpt.io.snapshots((snpt.io.enqPtr - 1.U).value).robIdx.head 400870f462dSXuan Hu 401870f462dSXuan Hu // prevent rob from generating snapshot when full here 402870f462dSXuan Hu val renameOut = Wire(chiselTypeOf(rename.io.out)) 403870f462dSXuan Hu renameOut <> rename.io.out 404c4b56310SHaojin Tang // pass all snapshot in the first element for correctness of blockBackward 405c4b56310SHaojin Tang renameOut.tail.foreach(_.bits.snapshot := false.B) 406c4b56310SHaojin Tang renameOut.head.bits.snapshot := Mux(isFull(snpt.io.enqPtr, snpt.io.deqPtr), 407c4b56310SHaojin Tang false.B, 408c4b56310SHaojin Tang Cat(rename.io.out.map(out => out.valid && out.bits.snapshot)).orR 409c4b56310SHaojin Tang ) 41024519898SXuan Hu 411b7d9e8d5Sxiaofeibao-xjtu 41224519898SXuan Hu // pipeline between rename and dispatch 41324519898SXuan Hu for (i <- 0 until RenameWidth) { 414870f462dSXuan Hu PipelineConnect(renameOut(i), dispatch.io.fromRename(i), dispatch.io.recv(i), s1_s3_redirect.valid) 41524519898SXuan Hu } 41624519898SXuan Hu 41724519898SXuan Hu dispatch.io.hartId := io.fromTop.hartId 41824519898SXuan Hu dispatch.io.redirect := s1_s3_redirect 41924519898SXuan Hu dispatch.io.enqRob <> rob.io.enq 420d2b20d1aSTang Haojin dispatch.io.robHead := rob.io.debugRobHead 421d2b20d1aSTang Haojin dispatch.io.stallReason <> rename.io.stallReason.out 422d2b20d1aSTang Haojin dispatch.io.lqCanAccept := io.lqCanAccept 423d2b20d1aSTang Haojin dispatch.io.sqCanAccept := io.sqCanAccept 424d2b20d1aSTang Haojin dispatch.io.robHeadNotReady := rob.io.headNotReady 425d2b20d1aSTang Haojin dispatch.io.robFull := rob.io.robFull 42624519898SXuan Hu dispatch.io.singleStep := RegNext(io.csrCtrl.singlestep) 42724519898SXuan Hu 42824519898SXuan Hu intDq.io.enq <> dispatch.io.toIntDq 42924519898SXuan Hu intDq.io.redirect <> s2_s4_redirect 43024519898SXuan Hu 43124519898SXuan Hu fpDq.io.enq <> dispatch.io.toFpDq 43224519898SXuan Hu fpDq.io.redirect <> s2_s4_redirect 43324519898SXuan Hu 43424519898SXuan Hu lsDq.io.enq <> dispatch.io.toLsDq 43524519898SXuan Hu lsDq.io.redirect <> s2_s4_redirect 43624519898SXuan Hu 43724519898SXuan Hu io.toIssueBlock.intUops <> intDq.io.deq 43824519898SXuan Hu io.toIssueBlock.vfUops <> fpDq.io.deq 43924519898SXuan Hu io.toIssueBlock.memUops <> lsDq.io.deq 44024519898SXuan Hu io.toIssueBlock.allocPregs <> dispatch.io.allocPregs 44124519898SXuan Hu io.toIssueBlock.flush <> s2_s4_redirect 44224519898SXuan Hu 44324519898SXuan Hu pcMem.io.wen.head := RegNext(io.frontend.fromFtq.pc_mem_wen) 44424519898SXuan Hu pcMem.io.waddr.head := RegNext(io.frontend.fromFtq.pc_mem_waddr) 44524519898SXuan Hu pcMem.io.wdata.head := RegNext(io.frontend.fromFtq.pc_mem_wdata) 44624519898SXuan Hu 44724519898SXuan Hu private val jumpPcVec : Vec[UInt] = Wire(Vec(params.numPcReadPort, UInt(VAddrData().dataWidth.W))) 44824519898SXuan Hu io.toIssueBlock.pcVec := jumpPcVec 44924519898SXuan Hu 45024519898SXuan Hu io.toDataPath.flush := s2_s4_redirect 45124519898SXuan Hu io.toExuBlock.flush := s2_s4_redirect 45224519898SXuan Hu 45324519898SXuan Hu for ((pcMemIdx, i) <- pcMemRdIndexes("exu").zipWithIndex) { 45424519898SXuan Hu pcMem.io.raddr(pcMemIdx) := intDq.io.deqNext(i).ftqPtr.value 45524519898SXuan Hu jumpPcVec(i) := pcMem.io.rdata(pcMemIdx).getPc(RegNext(intDq.io.deqNext(i).ftqOffset)) 45624519898SXuan Hu } 45724519898SXuan Hu 45824519898SXuan Hu val dqOuts = Seq(io.toIssueBlock.intUops) ++ Seq(io.toIssueBlock.vfUops) ++ Seq(io.toIssueBlock.memUops) 45924519898SXuan Hu dqOuts.zipWithIndex.foreach { case (dqOut, dqIdx) => 46024519898SXuan Hu dqOut.map(_.bits.pc).zipWithIndex.map{ case (pc, portIdx) => 46124519898SXuan Hu if(params.allSchdParams(dqIdx).numPcReadPort > 0){ 46224519898SXuan Hu val realJumpPcVec = jumpPcVec.drop(params.allSchdParams.take(dqIdx).map(_.numPcReadPort).sum).take(params.allSchdParams(dqIdx).numPcReadPort) 46324519898SXuan Hu pc := realJumpPcVec(portIdx) 46424519898SXuan Hu } 46524519898SXuan Hu } 46624519898SXuan Hu } 46724519898SXuan Hu 46824519898SXuan Hu rob.io.hartId := io.fromTop.hartId 46924519898SXuan Hu rob.io.redirect := s1_s3_redirect 47024519898SXuan Hu rob.io.writeback := delayedNotFlushedWriteBack 47124519898SXuan Hu 47224519898SXuan Hu io.redirect := s1_s3_redirect 47324519898SXuan Hu 47424519898SXuan Hu // rob to int block 47524519898SXuan Hu io.robio.csr <> rob.io.csr 47624519898SXuan Hu // When wfi is disabled, it will not block ROB commit. 47724519898SXuan Hu rob.io.csr.wfiEvent := io.robio.csr.wfiEvent 47824519898SXuan Hu rob.io.wfi_enable := decode.io.csrCtrl.wfi_enable 47924519898SXuan Hu 48024519898SXuan Hu io.toTop.cpuHalt := DelayN(rob.io.cpu_halt, 5) 48124519898SXuan Hu 48224519898SXuan Hu io.robio.csr.perfinfo.retiredInstr <> RegNext(rob.io.csr.perfinfo.retiredInstr) 48324519898SXuan Hu io.robio.exception := rob.io.exception 48424519898SXuan Hu io.robio.exception.bits.pc := s1_robFlushPc 48524519898SXuan Hu 48624519898SXuan Hu // rob to mem block 48724519898SXuan Hu io.robio.lsq <> rob.io.lsq 48824519898SXuan Hu 489b7d9e8d5Sxiaofeibao-xjtu io.debug_int_rat .foreach(_ := rat.io.diff_int_rat.get) 490b7d9e8d5Sxiaofeibao-xjtu io.debug_fp_rat .foreach(_ := rat.io.diff_fp_rat.get) 491b7d9e8d5Sxiaofeibao-xjtu io.debug_vec_rat .foreach(_ := rat.io.diff_vec_rat.get) 492b7d9e8d5Sxiaofeibao-xjtu io.debug_vconfig_rat.foreach(_ := rat.io.diff_vconfig_rat.get) 49324519898SXuan Hu 49417b21f45SHaojin Tang rob.io.debug_ls := io.robio.debug_ls 49517b21f45SHaojin Tang rob.io.debugHeadLsIssue := io.robio.robHeadLsIssue 49617b21f45SHaojin Tang rob.io.lsTopdownInfo := io.robio.lsTopdownInfo 4976ce10964SXuan Hu rob.io.debugEnqLsq := io.debugEnqLsq 4986ce10964SXuan Hu 49917b21f45SHaojin Tang io.robio.robDeqPtr := rob.io.robDeqPtr 5008744445eSMaxpicca-Li 50160ebee38STang Haojin io.debugTopDown.fromRob := rob.io.debugTopDown.toCore 50260ebee38STang Haojin dispatch.io.debugTopDown.fromRob := rob.io.debugTopDown.toDispatch 50360ebee38STang Haojin dispatch.io.debugTopDown.fromCore := io.debugTopDown.fromCore 5047cf78eb2Shappy-lx io.debugRolling := rob.io.debugRolling 50560ebee38STang Haojin 50624519898SXuan Hu io.perfInfo.ctrlInfo.robFull := RegNext(rob.io.robFull) 50724519898SXuan Hu io.perfInfo.ctrlInfo.intdqFull := RegNext(intDq.io.dqFull) 50824519898SXuan Hu io.perfInfo.ctrlInfo.fpdqFull := RegNext(fpDq.io.dqFull) 50924519898SXuan Hu io.perfInfo.ctrlInfo.lsdqFull := RegNext(lsDq.io.dqFull) 51024519898SXuan Hu 51124519898SXuan Hu val pfevent = Module(new PFEvent) 51224519898SXuan Hu pfevent.io.distribute_csr := RegNext(io.csrCtrl.distribute_csr) 51324519898SXuan Hu val csrevents = pfevent.io.hpmevent.slice(8,16) 51424519898SXuan Hu 51524519898SXuan Hu val perfinfo = IO(new Bundle(){ 51624519898SXuan Hu val perfEventsRs = Input(Vec(params.IqCnt, new PerfEvent)) 51724519898SXuan Hu val perfEventsEu0 = Input(Vec(6, new PerfEvent)) 51824519898SXuan Hu val perfEventsEu1 = Input(Vec(6, new PerfEvent)) 51924519898SXuan Hu }) 52024519898SXuan Hu 52124519898SXuan Hu val allPerfEvents = Seq(decode, rename, dispatch, intDq, fpDq, lsDq, rob).flatMap(_.getPerf) 52224519898SXuan Hu val hpmEvents = allPerfEvents ++ perfinfo.perfEventsEu0 ++ perfinfo.perfEventsEu1 ++ perfinfo.perfEventsRs 52324519898SXuan Hu val perfEvents = HPerfMonitor(csrevents, hpmEvents).getPerfEvents 52424519898SXuan Hu generatePerfEvent() 52524519898SXuan Hu} 52624519898SXuan Hu 52724519898SXuan Huclass CtrlBlockIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 52824519898SXuan Hu val fromTop = new Bundle { 52924519898SXuan Hu val hartId = Input(UInt(8.W)) 53024519898SXuan Hu } 53124519898SXuan Hu val toTop = new Bundle { 53224519898SXuan Hu val cpuHalt = Output(Bool()) 53324519898SXuan Hu } 53424519898SXuan Hu val frontend = Flipped(new FrontendToCtrlIO()) 53524519898SXuan Hu val toIssueBlock = new Bundle { 53624519898SXuan Hu val flush = ValidIO(new Redirect) 53724519898SXuan Hu val allocPregs = Vec(RenameWidth, Output(new ResetPregStateReq)) 53824519898SXuan Hu val intUops = Vec(dpParams.IntDqDeqWidth, DecoupledIO(new DynInst)) 53924519898SXuan Hu val vfUops = Vec(dpParams.FpDqDeqWidth, DecoupledIO(new DynInst)) 54024519898SXuan Hu val memUops = Vec(dpParams.LsDqDeqWidth, DecoupledIO(new DynInst)) 54124519898SXuan Hu val pcVec = Output(Vec(params.numPcReadPort, UInt(VAddrData().dataWidth.W))) 54224519898SXuan Hu } 54324519898SXuan Hu val fromDataPath = new Bundle{ 54424519898SXuan Hu val vtype = Input(new VType) 54524519898SXuan Hu } 54624519898SXuan Hu val toDataPath = new Bundle { 54724519898SXuan Hu val vtypeAddr = Output(UInt(PhyRegIdxWidth.W)) 54824519898SXuan Hu val flush = ValidIO(new Redirect) 54924519898SXuan Hu } 55024519898SXuan Hu val toExuBlock = new Bundle { 55124519898SXuan Hu val flush = ValidIO(new Redirect) 55224519898SXuan Hu } 55324519898SXuan Hu val fromWB = new Bundle { 55424519898SXuan Hu val wbData = Flipped(MixedVec(params.genWrite2CtrlBundles)) 55524519898SXuan Hu } 55624519898SXuan Hu val redirect = ValidIO(new Redirect) 55724519898SXuan Hu val fromMem = new Bundle { 55824519898SXuan Hu val stIn = Vec(params.StaCnt, Flipped(ValidIO(new DynInst))) // use storeSetHit, ssid, robIdx 55924519898SXuan Hu val violation = Flipped(ValidIO(new Redirect)) 56024519898SXuan Hu } 56124519898SXuan Hu val memLdPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 56283ba63b3SXuan Hu val memStPcRead = Vec(params.StaCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 563b133b458SXuan Hu val memHyPcRead = Vec(params.HyuCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 5644b0d80d8SXuan Hu 56524519898SXuan Hu val csrCtrl = Input(new CustomCSRCtrlIO) 56624519898SXuan Hu val robio = new Bundle { 56724519898SXuan Hu val csr = new RobCSRIO 56824519898SXuan Hu val exception = ValidIO(new ExceptionInfo) 56924519898SXuan Hu val lsq = new RobLsqIO 5706810d1e8Ssfencevma val lsTopdownInfo = Vec(params.LduCnt + params.HyuCnt, Input(new LsTopdownInfo)) 5712326221cSXuan Hu val debug_ls = Input(new DebugLSIO()) 57217b21f45SHaojin Tang val robHeadLsIssue = Input(Bool()) 57317b21f45SHaojin Tang val robDeqPtr = Output(new RobPtr) 57424519898SXuan Hu } 57524519898SXuan Hu 57624519898SXuan Hu val perfInfo = Output(new Bundle{ 57724519898SXuan Hu val ctrlInfo = new Bundle { 57824519898SXuan Hu val robFull = Bool() 57924519898SXuan Hu val intdqFull = Bool() 58024519898SXuan Hu val fpdqFull = Bool() 58124519898SXuan Hu val lsdqFull = Bool() 58224519898SXuan Hu } 58324519898SXuan Hu }) 584b7d9e8d5Sxiaofeibao-xjtu val debug_int_rat = if (params.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 585b7d9e8d5Sxiaofeibao-xjtu val debug_fp_rat = if (params.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 586b7d9e8d5Sxiaofeibao-xjtu val debug_vec_rat = if (params.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 587b7d9e8d5Sxiaofeibao-xjtu val debug_vconfig_rat = if (params.debugEn) Some(Output(UInt(PhyRegIdxWidth.W))) else None // TODO: use me 58824519898SXuan Hu 589c61abc0cSXuan Hu val sqCanAccept = Input(Bool()) 590c61abc0cSXuan Hu val lqCanAccept = Input(Bool()) 5914b0d80d8SXuan Hu 5924b0d80d8SXuan Hu val debugTopDown = new Bundle { 5934b0d80d8SXuan Hu val fromRob = new RobCoreTopDownIO 5944b0d80d8SXuan Hu val fromCore = new CoreDispatchTopDownIO 5954b0d80d8SXuan Hu } 5964b0d80d8SXuan Hu val debugRolling = new RobDebugRollingIO 5976ce10964SXuan Hu val debugEnqLsq = Input(new LsqEnqIO) 59824519898SXuan Hu} 59924519898SXuan Hu 60024519898SXuan Huclass NamedIndexes(namedCnt: Seq[(String, Int)]) { 60124519898SXuan Hu require(namedCnt.map(_._1).distinct.size == namedCnt.size, "namedCnt should not have the same name") 60224519898SXuan Hu 60324519898SXuan Hu val maxIdx = namedCnt.map(_._2).sum 60424519898SXuan Hu val nameRangeMap: Map[String, (Int, Int)] = namedCnt.indices.map { i => 60524519898SXuan Hu val begin = namedCnt.slice(0, i).map(_._2).sum 60624519898SXuan Hu val end = begin + namedCnt(i)._2 60724519898SXuan Hu (namedCnt(i)._1, (begin, end)) 60824519898SXuan Hu }.toMap 60924519898SXuan Hu 61024519898SXuan Hu def apply(name: String): Seq[Int] = { 61124519898SXuan Hu require(nameRangeMap.contains(name)) 61224519898SXuan Hu nameRangeMap(name)._1 until nameRangeMap(name)._2 61324519898SXuan Hu } 61424519898SXuan Hu} 615