xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/DataPath.scala (revision 1592abd11eecf7bec0f1453ffe4a7617167f8ba9)
1730cfbc0SXuan Hupackage xiangshan.backend.datapath
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
439c59369SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
683ba63b3SXuan Huimport difftest.{DiffArchFpRegState, DiffArchIntRegState, DiffArchVecRegState, DifftestModule}
7730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
8730cfbc0SXuan Huimport utility._
939c59369SXuan Huimport utils.SeqUtils._
10e4e52e7dSsinsanctionimport utils._
11730cfbc0SXuan Huimport xiangshan._
12e43bb916SXuan Huimport xiangshan.backend.{BackendParams, ExcpModToVprf, PcToDataPathIO, VprfToExcpMod}
1339c59369SXuan Huimport xiangshan.backend.Bundles._
14f4dcd9fcSsinsanctionimport xiangshan.backend.decode.ImmUnion
15730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._
16730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._
1738f78b5dSxiaofeibao-xjtuimport xiangshan.backend.issue.{FpScheduler, ImmExtractor, IntScheduler, MemScheduler, VfScheduler}
18f08a822fSzhanglyGitimport xiangshan.backend.issue.EntryBundles._
19730cfbc0SXuan Huimport xiangshan.backend.regfile._
20710b9efaSsinsanctionimport xiangshan.backend.regcache._
21e836c770SZhaoyang Youimport xiangshan.backend.fu.FuConfig
22a58e75b4Sxiao feibaoimport xiangshan.backend.fu.FuType.is0latency
23e43bb916SXuan Huimport xiangshan.mem.{LqPtr, SqPtr}
24730cfbc0SXuan Hu
25730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule {
261ca4a39dSXuan Hu  override def shouldBeInlined: Boolean = false
271ca4a39dSXuan Hu
28730cfbc0SXuan Hu  private implicit val dpParams: BackendParams = params
29730cfbc0SXuan Hu  lazy val module = new DataPathImp(this)
3039c59369SXuan Hu
3139c59369SXuan Hu  println(s"[DataPath] Preg Params: ")
3239c59369SXuan Hu  println(s"[DataPath]   Int R(${params.getRfReadSize(IntData())}), W(${params.getRfWriteSize(IntData())}) ")
3360f0c5aeSxiaofeibao  println(s"[DataPath]   Fp R(${params.getRfReadSize(FpData())}), W(${params.getRfWriteSize(FpData())}) ")
3439c59369SXuan Hu  println(s"[DataPath]   Vf R(${params.getRfReadSize(VecData())}), W(${params.getRfWriteSize(VecData())}) ")
35e4e52e7dSsinsanction  println(s"[DataPath]   V0 R(${params.getRfReadSize(V0Data())}), W(${params.getRfWriteSize(V0Data())}) ")
36e4e52e7dSsinsanction  println(s"[DataPath]   Vl R(${params.getRfReadSize(VlData())}), W(${params.getRfWriteSize(VlData())}) ")
37730cfbc0SXuan Hu}
38730cfbc0SXuan Hu
39730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams)
40e836c770SZhaoyang You  extends LazyModuleImp(wrapper) with HasXSParameter with HasPerfEvents {
41730cfbc0SXuan Hu
42730cfbc0SXuan Hu  val io = IO(new DataPathIO())
43730cfbc0SXuan Hu
44730cfbc0SXuan Hu  private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu)
4560f0c5aeSxiaofeibao  private val (fromFpIQ,  toFpIQ,  toFpExu)  = (io.fromFpIQ,  io.toFpIQ,  io.toFpExu)
46730cfbc0SXuan Hu  private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu)
4760f0c5aeSxiaofeibao  private val (fromVfIQ,  toVfIQ,  toVfExu ) = (io.fromVfIQ,  io.toVfIQ,  io.toVecExu)
48e43bb916SXuan Hu  private val (fromVecExcp, toVecExcp)       = (io.fromVecExcpMod, io.toVecExcpMod)
49730cfbc0SXuan Hu
50e4e52e7dSsinsanction  println(s"[DataPath] IntIQ(${fromIntIQ.size}), FpIQ(${fromFpIQ.size}), VecIQ(${fromVfIQ.size}), MemIQ(${fromMemIQ.size})")
51e4e52e7dSsinsanction  println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), FpExu(${fromFpIQ.map(_.size).sum}), VecExu(${fromVfIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})")
52730cfbc0SXuan Hu
53730cfbc0SXuan Hu  // just refences for convience
5460f0c5aeSxiaofeibao  private val fromIQ: Seq[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = (fromIntIQ ++ fromFpIQ ++ fromVfIQ ++ fromMemIQ).toSeq
55730cfbc0SXuan Hu
5660f0c5aeSxiaofeibao  private val toIQs = toIntIQ ++ toFpIQ ++ toVfIQ ++ toMemIQ
57730cfbc0SXuan Hu
5860f0c5aeSxiaofeibao  private val toExu: Seq[MixedVec[DecoupledIO[ExuInput]]] = (toIntExu ++ toFpExu ++ toVfExu ++ toMemExu).toSeq
59730cfbc0SXuan Hu
6083ba63b3SXuan Hu  private val fromFlattenIQ: Seq[DecoupledIO[IssueQueueIssueBundle]] = fromIQ.flatten
6110fe9778SXuan Hu
6210fe9778SXuan Hu  private val toFlattenExu: Seq[DecoupledIO[ExuInput]] = toExu.flatten
6310fe9778SXuan Hu
6439c59369SXuan Hu  private val intWbBusyArbiter = Module(new IntRFWBCollideChecker(backendParams))
6560f0c5aeSxiaofeibao  private val fpWbBusyArbiter = Module(new FpRFWBCollideChecker(backendParams))
6639c59369SXuan Hu  private val vfWbBusyArbiter = Module(new VfRFWBCollideChecker(backendParams))
67e4e52e7dSsinsanction  private val v0WbBusyArbiter = Module(new V0RFWBCollideChecker(backendParams))
68e4e52e7dSsinsanction  private val vlWbBusyArbiter = Module(new VlRFWBCollideChecker(backendParams))
69e4e52e7dSsinsanction
7039c59369SXuan Hu  private val intRFReadArbiter = Module(new IntRFReadArbiter(backendParams))
7160f0c5aeSxiaofeibao  private val fpRFReadArbiter = Module(new FpRFReadArbiter(backendParams))
7239c59369SXuan Hu  private val vfRFReadArbiter = Module(new VfRFReadArbiter(backendParams))
73e4e52e7dSsinsanction  private val v0RFReadArbiter = Module(new V0RFReadArbiter(backendParams))
74e4e52e7dSsinsanction  private val vlRFReadArbiter = Module(new VlRFReadArbiter(backendParams))
75730cfbc0SXuan Hu
7683ba63b3SXuan Hu  private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq))
7783ba63b3SXuan Hu  private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq))
78c0be7f33SXuan Hu
7939c59369SXuan Hu  // port -> win
8083ba63b3SXuan Hu  private val intRdArbWinner: Seq2[MixedVec[Bool]] = intRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
8160f0c5aeSxiaofeibao  private val fpRdArbWinner: Seq2[MixedVec[Bool]] = fpRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
8283ba63b3SXuan Hu  private val vfRdArbWinner: Seq2[MixedVec[Bool]] = vfRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
83e4e52e7dSsinsanction  private val v0RdArbWinner: Seq2[MixedVec[Bool]] = v0RFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
84e4e52e7dSsinsanction  private val vlRdArbWinner: Seq2[MixedVec[Bool]] = vlRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
85e4e52e7dSsinsanction
8683ba63b3SXuan Hu  private val intWbNotBlock: Seq[MixedVec[Bool]] = intWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
872d29d35fSxiaofeibao  private val fpWbNotBlock: Seq[MixedVec[Bool]] = fpWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
8883ba63b3SXuan Hu  private val vfWbNotBlock: Seq[MixedVec[Bool]] = vfWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
89e4e52e7dSsinsanction  private val v0WbNotBlock: Seq[MixedVec[Bool]] = v0WbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
90e4e52e7dSsinsanction  private val vlWbNotBlock: Seq[MixedVec[Bool]] = vlWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
91730cfbc0SXuan Hu
9239c59369SXuan Hu  private val intRdNotBlock: Seq2[Bool] = intRdArbWinner.map(_.map(_.asUInt.andR))
9360f0c5aeSxiaofeibao  private val fpRdNotBlock: Seq2[Bool] = fpRdArbWinner.map(_.map(_.asUInt.andR))
9439c59369SXuan Hu  private val vfRdNotBlock: Seq2[Bool] = vfRdArbWinner.map(_.map(_.asUInt.andR))
95e4e52e7dSsinsanction  private val v0RdNotBlock: Seq2[Bool] = v0RdArbWinner.map(_.map(_.asUInt.andR))
96e4e52e7dSsinsanction  private val vlRdNotBlock: Seq2[Bool] = vlRdArbWinner.map(_.map(_.asUInt.andR))
97730cfbc0SXuan Hu
986017bdcbSsinsanction  private val intRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
996017bdcbSsinsanction  private val fpRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
1006017bdcbSsinsanction  private val vfRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
101e4e52e7dSsinsanction  private val v0RFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
102e4e52e7dSsinsanction  private val vlRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
103e4e52e7dSsinsanction
104ed40f96eSsinsanction  private val allDataSources: Seq[Seq[Vec[DataSource]]] = fromIQ.map(x => x.map(xx => xx.bits.common.dataSources).toSeq)
105ed40f96eSsinsanction  private val allNumRegSrcs: Seq[Seq[Int]] = fromIQ.map(x => x.map(xx => xx.bits.exuParams.numRegSrc).toSeq)
106b6b11f60SXuan Hu
10739c59369SXuan Hu  intRFReadArbiter.io.in.zip(intRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
10839c59369SXuan Hu    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
10939c59369SXuan Hu      val srcIndices: Seq[Int] = fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(IntData())
11039c59369SXuan Hu      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
11139c59369SXuan Hu        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
112ed40f96eSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
113c4fc226aSxiaofeibao-xjtu          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
11439c59369SXuan Hu        } else {
11539c59369SXuan Hu          arbInSeq(srcIdx).valid := false.B
11639c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := 0.U
1173fd20becSczw        }
11839c59369SXuan Hu      }
11939c59369SXuan Hu    }
12039c59369SXuan Hu  }
12160f0c5aeSxiaofeibao  fpRFReadArbiter.io.in.zip(fpRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
12260f0c5aeSxiaofeibao    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
12360f0c5aeSxiaofeibao      val srcIndices: Seq[Int] = FpRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
12460f0c5aeSxiaofeibao      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
12560f0c5aeSxiaofeibao        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
12660f0c5aeSxiaofeibao          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
12760f0c5aeSxiaofeibao          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
12860f0c5aeSxiaofeibao        } else {
12960f0c5aeSxiaofeibao          arbInSeq(srcIdx).valid := false.B
13060f0c5aeSxiaofeibao          arbInSeq(srcIdx).bits.addr := 0.U
13160f0c5aeSxiaofeibao        }
13260f0c5aeSxiaofeibao      }
13360f0c5aeSxiaofeibao    }
13460f0c5aeSxiaofeibao  }
1352e0a7dc5Sfdy
13639c59369SXuan Hu  vfRFReadArbiter.io.in.zip(vfRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
13739c59369SXuan Hu    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
138fbe46a0aSxiaofeibao      val srcIndices: Seq[Int] = VecRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
13939c59369SXuan Hu      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
14039c59369SXuan Hu        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
141ed40f96eSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
14239c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
14339c59369SXuan Hu        } else {
14439c59369SXuan Hu          arbInSeq(srcIdx).valid := false.B
14539c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := 0.U
146730cfbc0SXuan Hu        }
147730cfbc0SXuan Hu      }
14839c59369SXuan Hu    }
14939c59369SXuan Hu  }
15039c59369SXuan Hu
151e4e52e7dSsinsanction  v0RFReadArbiter.io.in.zip(v0RFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
152e4e52e7dSsinsanction    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
153e4e52e7dSsinsanction      val srcIndices: Seq[Int] = V0RegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
154e4e52e7dSsinsanction      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
155e4e52e7dSsinsanction        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
156e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
157e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
158e4e52e7dSsinsanction        } else {
159e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := false.B
160e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := 0.U
161e4e52e7dSsinsanction        }
162e4e52e7dSsinsanction      }
163e4e52e7dSsinsanction    }
164e4e52e7dSsinsanction  }
165e4e52e7dSsinsanction
166e4e52e7dSsinsanction  vlRFReadArbiter.io.in.zip(vlRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
167e4e52e7dSsinsanction    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
168e4e52e7dSsinsanction      val srcIndices: Seq[Int] = VlRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
169e4e52e7dSsinsanction      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
170e4e52e7dSsinsanction        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
171e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
172e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
173e4e52e7dSsinsanction        } else {
174e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := false.B
175e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := 0.U
176e4e52e7dSsinsanction        }
177e4e52e7dSsinsanction      }
178e4e52e7dSsinsanction    }
179e4e52e7dSsinsanction  }
180e4e52e7dSsinsanction
18183ba63b3SXuan Hu  private val intRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.rfWen.getOrElse(false.B)).toSeq).toSeq
1826017bdcbSsinsanction  private val fpRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.fpWen.getOrElse(false.B)).toSeq).toSeq
1836017bdcbSsinsanction  private val vfRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vecWen.getOrElse(false.B)).toSeq).toSeq
184e4e52e7dSsinsanction  private val v0RFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.v0Wen.getOrElse(false.B)).toSeq).toSeq
185e4e52e7dSsinsanction  private val vlRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vlWen.getOrElse(false.B)).toSeq).toSeq
18639c59369SXuan Hu
18739c59369SXuan Hu  intWbBusyArbiter.io.in.zip(intRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
18839c59369SXuan Hu    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
18939c59369SXuan Hu      arbIn.valid := inRFWriteReq
19039c59369SXuan Hu    }
19139c59369SXuan Hu  }
19239c59369SXuan Hu
19360f0c5aeSxiaofeibao  fpWbBusyArbiter.io.in.zip(fpRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
19460f0c5aeSxiaofeibao    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
19560f0c5aeSxiaofeibao      arbIn.valid := inRFWriteReq
19660f0c5aeSxiaofeibao    }
19760f0c5aeSxiaofeibao  }
19860f0c5aeSxiaofeibao
19939c59369SXuan Hu  vfWbBusyArbiter.io.in.zip(vfRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
20039c59369SXuan Hu    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
20139c59369SXuan Hu      arbIn.valid := inRFWriteReq
20239c59369SXuan Hu    }
20339c59369SXuan Hu  }
204730cfbc0SXuan Hu
205e4e52e7dSsinsanction  v0WbBusyArbiter.io.in.zip(v0RFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
206e4e52e7dSsinsanction    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
207e4e52e7dSsinsanction      arbIn.valid := inRFWriteReq
208e4e52e7dSsinsanction    }
209e4e52e7dSsinsanction  }
210e4e52e7dSsinsanction
211e4e52e7dSsinsanction  vlWbBusyArbiter.io.in.zip(vlRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
212e4e52e7dSsinsanction    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
213e4e52e7dSsinsanction      arbIn.valid := inRFWriteReq
214e4e52e7dSsinsanction    }
215e4e52e7dSsinsanction  }
216e4e52e7dSsinsanction
217730cfbc0SXuan Hu  private val intSchdParams = params.schdParams(IntScheduler())
21860f0c5aeSxiaofeibao  private val fpSchdParams = params.schdParams(FpScheduler())
219730cfbc0SXuan Hu  private val vfSchdParams = params.schdParams(VfScheduler())
220730cfbc0SXuan Hu  private val memSchdParams = params.schdParams(MemScheduler())
221730cfbc0SXuan Hu
222730cfbc0SXuan Hu  private val schdParams = params.allSchdParams
223730cfbc0SXuan Hu
224ce95ff3aSsinsanction  private val pcReadValid = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathValid))
225ce95ff3aSsinsanction  private val pcReadFtqPtr = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqPtr))
226ce95ff3aSsinsanction  private val pcReadFtqOffset = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqOffset))
227ce95ff3aSsinsanction  private val targetPCRdata = io.fromPcTargetMem.toDataPathTargetPC
228ce95ff3aSsinsanction  private val pcRdata = io.fromPcTargetMem.toDataPathPC
22939c59369SXuan Hu  private val intRfRaddr = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.pregIdxWidth.W)))
23039c59369SXuan Hu  private val intRfRdata = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.rfDataWidth.W)))
231730cfbc0SXuan Hu  private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool()))
232730cfbc0SXuan Hu  private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W)))
233730cfbc0SXuan Hu  private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W)))
234730cfbc0SXuan Hu
23560f0c5aeSxiaofeibao  private val fpRfRaddr = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.pregIdxWidth.W)))
23660f0c5aeSxiaofeibao  private val fpRfRdata = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.rfDataWidth.W)))
23760f0c5aeSxiaofeibao  private val fpRfWen = Wire(Vec(io.fromFpWb.length, Bool()))
23860f0c5aeSxiaofeibao  private val fpRfWaddr = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.pregIdxWidth.W)))
23960f0c5aeSxiaofeibao  private val fpRfWdata = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.rfDataWidth.W)))
24060f0c5aeSxiaofeibao
241748214a1Sxiaofeibao  private val vfRfSplitNum = 4
24239c59369SXuan Hu  private val vfRfRaddr = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.pregIdxWidth.W)))
24339c59369SXuan Hu  private val vfRfRdata = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.rfDataWidth.W)))
244730cfbc0SXuan Hu  private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool())))
245730cfbc0SXuan Hu  private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W)))
246730cfbc0SXuan Hu  private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W)))
247730cfbc0SXuan Hu
248e4e52e7dSsinsanction  private val v0RfSplitNum = VLEN / XLEN
249e4e52e7dSsinsanction  private val v0RfRaddr = Wire(Vec(params.numPregRd(V0Data()), UInt(log2Up(V0PhyRegs).W)))
250e4e52e7dSsinsanction  private val v0RfRdata = Wire(Vec(params.numPregRd(V0Data()), UInt(V0Data().dataWidth.W)))
251e4e52e7dSsinsanction  private val v0RfWen = Wire(Vec(v0RfSplitNum, Vec(io.fromV0Wb.length, Bool())))
252e4e52e7dSsinsanction  private val v0RfWaddr = Wire(Vec(io.fromV0Wb.length, UInt(log2Up(V0PhyRegs).W)))
253e4e52e7dSsinsanction  private val v0RfWdata = Wire(Vec(io.fromV0Wb.length, UInt(V0Data().dataWidth.W)))
254e4e52e7dSsinsanction
255e4e52e7dSsinsanction  private val vlRfRaddr = Wire(Vec(params.numPregRd(VlData()), UInt(log2Up(VlPhyRegs).W)))
256e4e52e7dSsinsanction  private val vlRfRdata = Wire(Vec(params.numPregRd(VlData()), UInt(VlData().dataWidth.W)))
257e4e52e7dSsinsanction  private val vlRfWen = Wire(Vec(io.fromVlWb.length, Bool()))
258e4e52e7dSsinsanction  private val vlRfWaddr = Wire(Vec(io.fromVlWb.length, UInt(log2Up(VlPhyRegs).W)))
259e4e52e7dSsinsanction  private val vlRfWdata = Wire(Vec(io.fromVlWb.length, UInt(VlData().dataWidth.W)))
260e4e52e7dSsinsanction
261c37914a4Sxiaofeibao  val pcReadFtqPtrFormIQ = (fromIntIQ ++ fromMemIQ).flatten.filter(x => x.bits.exuParams.needPc)
2625f80df32Sxiaofeibao-xjtu  assert(pcReadFtqPtrFormIQ.size == pcReadFtqPtr.size, s"pcReadFtqPtrFormIQ.size ${pcReadFtqPtrFormIQ.size} not equal pcReadFtqPtr.size ${pcReadFtqPtr.size}")
263ce95ff3aSsinsanction  pcReadValid.zip(pcReadFtqPtrFormIQ.map(_.valid)).map(x => x._1 := x._2)
2645f80df32Sxiaofeibao-xjtu  pcReadFtqPtr.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqIdx.get)).map(x => x._1 := x._2)
2655f80df32Sxiaofeibao-xjtu  pcReadFtqOffset.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqOffset.get)).map(x => x._1 := x._2)
266ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathValid := pcReadValid
267ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathFtqPtr := pcReadFtqPtr
268ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathFtqOffset := pcReadFtqOffset
26981535d7bSsinsanction
27063d67ef3STang Haojin  private val intDiffRead: Option[(Vec[UInt], Vec[UInt])] =
27163d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, (Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))))
27263d67ef3STang Haojin  private val fpDiffRead: Option[(Vec[UInt], Vec[UInt])] =
27363d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, (Wire(Vec(32, UInt(fpSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))))
27463d67ef3STang Haojin  private val vfDiffRead: Option[(Vec[UInt], Vec[UInt])] =
27563d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, (Wire(Vec(31, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(31, UInt(VLEN.W)))))
27663d67ef3STang Haojin  private val v0DiffRead: Option[(Vec[UInt], Vec[UInt])] =
27763d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, (Wire(Vec(1, UInt(log2Up(V0PhyRegs).W))), Wire(Vec(1, UInt(V0Data().dataWidth.W)))))
27863d67ef3STang Haojin  private val vlDiffRead: Option[(Vec[UInt], Vec[UInt])] =
27963d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, (Wire(Vec(1, UInt(log2Up(VlPhyRegs).W))), Wire(Vec(1, UInt(VlData().dataWidth.W)))))
280730cfbc0SXuan Hu
28163d67ef3STang Haojin  private val fpDiffReadData: Option[Vec[UInt]] =
28263d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, Wire(Vec(32, UInt(XLEN.W))))
28363d67ef3STang Haojin  private val vecDiffReadData: Option[Vec[UInt]] =
28463d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0))
28563d67ef3STang Haojin  private val vlDiffReadData: Option[UInt] =
28663d67ef3STang Haojin    OptionWrapper(backendParams.basicDebugEn, Wire(UInt(VlData().dataWidth.W)))
287e2e5f6b0SXuan Hu
288730cfbc0SXuan Hu
28963d67ef3STang Haojin  fpDiffReadData.foreach(_ := fpDiffRead
290730cfbc0SXuan Hu    .get._2
291730cfbc0SXuan Hu    .slice(0, 32)
292730cfbc0SXuan Hu    .map(_(63, 0))
293730cfbc0SXuan Hu  ) // fp only used [63, 0]
29463d67ef3STang Haojin  vecDiffReadData.foreach(_ :=
29563d67ef3STang Haojin    v0DiffRead
296730cfbc0SXuan Hu    .get._2
297e4e52e7dSsinsanction    .slice(0, 1)
298e4e52e7dSsinsanction    .map(x => Seq(x(63, 0), x(127, 64))).flatten ++
29963d67ef3STang Haojin    vfDiffRead
300e4e52e7dSsinsanction    .get._2
301e4e52e7dSsinsanction    .slice(0, 31)
302730cfbc0SXuan Hu    .map(x => Seq(x(63, 0), x(127, 64))).flatten
303730cfbc0SXuan Hu  )
30463d67ef3STang Haojin  vlDiffReadData.foreach(_ := vlDiffRead
305e4e52e7dSsinsanction    .get._2(0)
306e2e5f6b0SXuan Hu  )
307730cfbc0SXuan Hu
30863d67ef3STang Haojin  io.diffVl.foreach(_ := vlDiffReadData.get)
309a8db15d8Sfdy
31033d0849eSxiaofeibao  IntRegFileSplit("IntRegFile", intSchdParams.numPregs, splitNum = 4, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata,
3113f1b0da5Sxiaofeibao    bankNum = 1,
31263d67ef3STang Haojin    debugReadAddr = intDiffRead.map(_._1),
31363d67ef3STang Haojin    debugReadData = intDiffRead.map(_._2)
314e4e52e7dSsinsanction  )
315748214a1Sxiaofeibao  FpRegFileSplit("FpRegFile", fpSchdParams.numPregs, splitNum = 4, fpRfRaddr, fpRfRdata, fpRfWen, fpRfWaddr, fpRfWdata,
31660f0c5aeSxiaofeibao    bankNum = 1,
31763d67ef3STang Haojin    debugReadAddr = fpDiffRead.map(_._1),
31863d67ef3STang Haojin    debugReadData = fpDiffRead.map(_._2)
319e4e52e7dSsinsanction  )
320730cfbc0SXuan Hu  VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata,
32163d67ef3STang Haojin    debugReadAddr = vfDiffRead.map(_._1),
32263d67ef3STang Haojin    debugReadData = vfDiffRead.map(_._2)
323e4e52e7dSsinsanction  )
324e4e52e7dSsinsanction  VfRegFile("V0RegFile", V0PhyRegs, v0RfSplitNum, v0RfRaddr, v0RfRdata, v0RfWen, v0RfWaddr, v0RfWdata,
32563d67ef3STang Haojin    debugReadAddr = v0DiffRead.map(_._1),
32663d67ef3STang Haojin    debugReadData = v0DiffRead.map(_._2)
327e4e52e7dSsinsanction  )
328e4e52e7dSsinsanction  FpRegFile("VlRegFile", VlPhyRegs, vlRfRaddr, vlRfRdata, vlRfWen, vlRfWaddr, vlRfWdata,
329e4e52e7dSsinsanction    bankNum = 1,
33060052a3fSxiaofeibao    isVlRegfile = true,
33163d67ef3STang Haojin    debugReadAddr = vlDiffRead.map(_._1),
33263d67ef3STang Haojin    debugReadData = vlDiffRead.map(_._2)
333e4e52e7dSsinsanction  )
334730cfbc0SXuan Hu
3353f1b0da5Sxiaofeibao  intRfWaddr := io.fromIntWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3363f1b0da5Sxiaofeibao  intRfWdata := io.fromIntWb.map(x => RegEnable(x.data, x.wen)).toSeq
3373f1b0da5Sxiaofeibao  intRfWen := RegNext(VecInit(io.fromIntWb.map(_.wen).toSeq))
338730cfbc0SXuan Hu
33939c59369SXuan Hu  for (portIdx <- intRfRaddr.indices) {
34039c59369SXuan Hu    if (intRFReadArbiter.io.out.isDefinedAt(portIdx))
34139c59369SXuan Hu      intRfRaddr(portIdx) := intRFReadArbiter.io.out(portIdx).bits.addr
34239c59369SXuan Hu    else
34339c59369SXuan Hu      intRfRaddr(portIdx) := 0.U
34439c59369SXuan Hu  }
345730cfbc0SXuan Hu
3463f1b0da5Sxiaofeibao  fpRfWaddr := io.fromFpWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3473f1b0da5Sxiaofeibao  fpRfWdata := io.fromFpWb.map(x => RegEnable(x.data, x.wen)).toSeq
3483f1b0da5Sxiaofeibao  fpRfWen := RegNext(VecInit(io.fromFpWb.map(_.wen).toSeq))
34960f0c5aeSxiaofeibao
35060f0c5aeSxiaofeibao  for (portIdx <- fpRfRaddr.indices) {
35160f0c5aeSxiaofeibao    if (fpRFReadArbiter.io.out.isDefinedAt(portIdx))
35260f0c5aeSxiaofeibao      fpRfRaddr(portIdx) := fpRFReadArbiter.io.out(portIdx).bits.addr
35360f0c5aeSxiaofeibao    else
35460f0c5aeSxiaofeibao      fpRfRaddr(portIdx) := 0.U
35560f0c5aeSxiaofeibao  }
35660f0c5aeSxiaofeibao
3574fa640e4Ssinsanction  vfRfWaddr := io.fromVfWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3584fa640e4Ssinsanction  vfRfWdata := io.fromVfWb.map(x => RegEnable(x.data, x.wen)).toSeq
359e4e52e7dSsinsanction  vfRfWen.foreach(_.zip(io.fromVfWb.map(x => RegNext(x.wen))).foreach { case (wenSink, wenSource) => wenSink := wenSource } )
360730cfbc0SXuan Hu
36139c59369SXuan Hu  for (portIdx <- vfRfRaddr.indices) {
36239c59369SXuan Hu    if (vfRFReadArbiter.io.out.isDefinedAt(portIdx))
36339c59369SXuan Hu      vfRfRaddr(portIdx) := vfRFReadArbiter.io.out(portIdx).bits.addr
36439c59369SXuan Hu    else
36539c59369SXuan Hu      vfRfRaddr(portIdx) := 0.U
36639c59369SXuan Hu  }
36739c59369SXuan Hu
3686f9eb082Sxiaofeibao-xjtu  v0RfWaddr := io.fromV0Wb.map(x => RegEnable(x.addr, x.wen)).toSeq
3696f9eb082Sxiaofeibao-xjtu  v0RfWdata := io.fromV0Wb.map(x => RegEnable(x.data, x.wen)).toSeq
3706f9eb082Sxiaofeibao-xjtu  v0RfWen.foreach(_.zip(io.fromV0Wb.map(x => RegNext(x.wen))).foreach { case (wenSink, wenSource) => wenSink := wenSource } )
371e4e52e7dSsinsanction
372e4e52e7dSsinsanction  for (portIdx <- v0RfRaddr.indices) {
373e4e52e7dSsinsanction    if (v0RFReadArbiter.io.out.isDefinedAt(portIdx))
374e4e52e7dSsinsanction      v0RfRaddr(portIdx) := v0RFReadArbiter.io.out(portIdx).bits.addr
375e4e52e7dSsinsanction    else
376e4e52e7dSsinsanction      v0RfRaddr(portIdx) := 0.U
377e4e52e7dSsinsanction  }
378e4e52e7dSsinsanction
379e43bb916SXuan Hu  private val vecExcpUseVecRdPorts = Seq(6, 7, 8, 9, 10, 11, 0, 1)
380e43bb916SXuan Hu  private val vecExcpUseVecWrPorts = Seq(1, 4, 5, 3)
381e43bb916SXuan Hu  private val vecExcpUseV0RdPorts = Seq(2, 3)
382e43bb916SXuan Hu  private val vecExcpUsev0WrPorts = Seq(4)
383e43bb916SXuan Hu
384e43bb916SXuan Hu  private var v0RdPortsIter: Iterator[Int] = vecExcpUseV0RdPorts.iterator
385e43bb916SXuan Hu  private val v0WrPortsIter: Iterator[Int] = vecExcpUsev0WrPorts.iterator
386e43bb916SXuan Hu
387e43bb916SXuan Hu  for (i <- fromVecExcp.r.indices) {
388e43bb916SXuan Hu    when (fromVecExcp.r(i).valid && !fromVecExcp.r(i).bits.isV0) {
389e43bb916SXuan Hu      vfRfRaddr(vecExcpUseVecRdPorts(i)) := fromVecExcp.r(i).bits.addr
390e43bb916SXuan Hu    }
391e43bb916SXuan Hu    if (i % maxMergeNumPerCycle == 0) {
392e43bb916SXuan Hu      val v0RdPort = v0RdPortsIter.next()
393e43bb916SXuan Hu      when (fromVecExcp.r(i).valid && fromVecExcp.r(i).bits.isV0) {
394e43bb916SXuan Hu        v0RfRaddr(v0RdPort) := fromVecExcp.r(i).bits.addr
395e43bb916SXuan Hu      }
396e43bb916SXuan Hu    }
397e43bb916SXuan Hu  }
398e43bb916SXuan Hu
399e43bb916SXuan Hu  for (i <- fromVecExcp.w.indices) {
400e43bb916SXuan Hu    when (fromVecExcp.w(i).valid && !fromVecExcp.w(i).bits.isV0) {
401e43bb916SXuan Hu      val vecWrPort = vecExcpUseVecWrPorts(i)
402e43bb916SXuan Hu      vfRfWen.foreach(_(vecWrPort) := true.B)
403e43bb916SXuan Hu      vfRfWaddr(vecWrPort) := fromVecExcp.w(i).bits.newVdAddr
404e43bb916SXuan Hu      vfRfWdata(vecWrPort) := fromVecExcp.w(i).bits.newVdData
405e43bb916SXuan Hu    }
406e43bb916SXuan Hu    if (i % maxMergeNumPerCycle == 0) {
407e43bb916SXuan Hu      when(fromVecExcp.w(i).valid && fromVecExcp.w(i).bits.isV0) {
408e43bb916SXuan Hu        val v0WrPort = v0WrPortsIter.next()
409e43bb916SXuan Hu        v0RfWen.foreach(_(v0WrPort) := true.B)
410e43bb916SXuan Hu        v0RfWaddr(v0WrPort) := fromVecExcp.w(i).bits.newVdAddr
411e43bb916SXuan Hu        v0RfWdata(v0WrPort) := fromVecExcp.w(i).bits.newVdData
412e43bb916SXuan Hu      }
413e43bb916SXuan Hu    }
414e43bb916SXuan Hu  }
415e43bb916SXuan Hu
4166f9eb082Sxiaofeibao-xjtu  vlRfWaddr := io.fromVlWb.map(x => RegEnable(x.addr, x.wen)).toSeq
4176f9eb082Sxiaofeibao-xjtu  vlRfWdata := io.fromVlWb.map(x => RegEnable(x.data, x.wen)).toSeq
4186f9eb082Sxiaofeibao-xjtu  vlRfWen := io.fromVlWb.map(x => RegNext(x.wen)).toSeq
419e4e52e7dSsinsanction
420e4e52e7dSsinsanction  for (portIdx <- vlRfRaddr.indices) {
421e4e52e7dSsinsanction    if (vlRFReadArbiter.io.out.isDefinedAt(portIdx))
422e4e52e7dSsinsanction      vlRfRaddr(portIdx) := vlRFReadArbiter.io.out(portIdx).bits.addr
423e4e52e7dSsinsanction    else
424e4e52e7dSsinsanction      vlRfRaddr(portIdx) := 0.U
425e4e52e7dSsinsanction  }
426e4e52e7dSsinsanction
427730cfbc0SXuan Hu
42863d67ef3STang Haojin  intDiffRead.foreach { case (addr, _) =>
42963d67ef3STang Haojin    addr := io.diffIntRat.get
430730cfbc0SXuan Hu  }
431730cfbc0SXuan Hu
43263d67ef3STang Haojin  fpDiffRead.foreach { case (addr, _) =>
43363d67ef3STang Haojin    addr := io.diffFpRat.get
4344f3e7e73SZiyue Zhang  }
4354f3e7e73SZiyue Zhang
43663d67ef3STang Haojin  vfDiffRead.foreach { case (addr, _) =>
43763d67ef3STang Haojin    addr := io.diffVecRat.get
438730cfbc0SXuan Hu  }
43963d67ef3STang Haojin  v0DiffRead.foreach { case (addr, _) =>
44063d67ef3STang Haojin    addr := io.diffV0Rat.get
441e4e52e7dSsinsanction  }
44263d67ef3STang Haojin  vlDiffRead.foreach { case (addr, _) =>
44363d67ef3STang Haojin    addr := io.diffVlRat.get
444e4e52e7dSsinsanction  }
445e4e52e7dSsinsanction
446730cfbc0SXuan Hu  println(s"[DataPath] " +
44763d67ef3STang Haojin    s"has intDiffRead: ${intDiffRead.nonEmpty}, " +
44863d67ef3STang Haojin    s"has fpDiffRead: ${fpDiffRead.nonEmpty}, " +
44963d67ef3STang Haojin    s"has vecDiffRead: ${vfDiffRead.nonEmpty}, " +
45063d67ef3STang Haojin    s"has v0DiffRead: ${v0DiffRead.nonEmpty}, " +
45163d67ef3STang Haojin    s"has vlDiffRead: ${vlDiffRead.nonEmpty}")
452730cfbc0SXuan Hu
453710b9efaSsinsanction  // regcache
454710b9efaSsinsanction  private val regCache = Module(new RegCache())
455710b9efaSsinsanction
456710b9efaSsinsanction  def IssueBundle2RCReadPort(issue: DecoupledIO[IssueQueueIssueBundle]): Vec[RCReadPort] = {
457710b9efaSsinsanction    val readPorts = Wire(Vec(issue.bits.exuParams.numIntSrc, new RCReadPort(params.intSchdParams.get.rfDataWidth, RegCacheIdxWidth)))
458710b9efaSsinsanction    readPorts.zipWithIndex.foreach{ case (r, idx) =>
459710b9efaSsinsanction      r.ren  := issue.valid && issue.bits.common.dataSources(idx).readRegCache
460710b9efaSsinsanction      r.addr := issue.bits.rcIdx.get(idx)
461710b9efaSsinsanction      r.data := DontCare
462710b9efaSsinsanction    }
463710b9efaSsinsanction    readPorts
464710b9efaSsinsanction  }
465710b9efaSsinsanction
466710b9efaSsinsanction  private val regCacheReadReq = fromIntIQ.flatten.filter(_.bits.exuParams.numIntSrc > 0).flatMap(IssueBundle2RCReadPort(_)) ++
467710b9efaSsinsanction                                fromMemIQ.flatten.filter(_.bits.exuParams.numIntSrc > 0).flatMap(IssueBundle2RCReadPort(_))
468710b9efaSsinsanction  private val regCacheReadData = regCache.io.readPorts.map(_.data)
469710b9efaSsinsanction
470710b9efaSsinsanction  println(s"[DataPath] regCache readPorts size: ${regCache.io.readPorts.size}, regCacheReadReq size: ${regCacheReadReq.size}")
471710b9efaSsinsanction  require(regCache.io.readPorts.size == regCacheReadReq.size, "reg cache's readPorts size should be equal to regCacheReadReq")
472710b9efaSsinsanction
473710b9efaSsinsanction  regCache.io.readPorts.zip(regCacheReadReq).foreach{ case (r, req) =>
474710b9efaSsinsanction    r.ren := req.ren
475710b9efaSsinsanction    r.addr := req.addr
476710b9efaSsinsanction  }
477710b9efaSsinsanction
478710b9efaSsinsanction  val s1_RCReadData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
479710b9efaSsinsanction  s1_RCReadData.foreach(_.foreach(_.foreach(_ := 0.U)))
480710b9efaSsinsanction  s1_RCReadData.zip(toExu).filter(_._2.map(_.bits.params.isIntExeUnit).reduce(_ || _)).flatMap(_._1).flatten
481710b9efaSsinsanction    .zip(regCacheReadData.take(params.getIntExuRCReadSize)).foreach{ case (s1_data, rdata) =>
482710b9efaSsinsanction      s1_data := rdata
483710b9efaSsinsanction    }
484710b9efaSsinsanction  s1_RCReadData.zip(toExu).filter(_._2.map(x => x.bits.params.isMemExeUnit && x.bits.params.readIntRf).reduce(_ || _)).flatMap(_._1).flatten
485710b9efaSsinsanction    .zip(regCacheReadData.takeRight(params.getMemExuRCReadSize)).foreach{ case (s1_data, rdata) =>
486710b9efaSsinsanction      s1_data := rdata
487710b9efaSsinsanction    }
488710b9efaSsinsanction
489710b9efaSsinsanction  println(s"[DataPath] s1_RCReadData.int.size: ${s1_RCReadData.zip(toExu).filter(_._2.map(_.bits.params.isIntExeUnit).reduce(_ || _)).flatMap(_._1).flatten.size}, RCRdata.int.size: ${params.getIntExuRCReadSize}")
490710b9efaSsinsanction  println(s"[DataPath] s1_RCReadData.mem.size: ${s1_RCReadData.zip(toExu).filter(_._2.map(x => x.bits.params.isMemExeUnit && x.bits.params.readIntRf).reduce(_ || _)).flatMap(_._1).flatten.size}, RCRdata.mem.size: ${params.getMemExuRCReadSize}")
491710b9efaSsinsanction
492710b9efaSsinsanction  io.toWakeupQueueRCIdx := regCache.io.toWakeupQueueRCIdx
493102ba843Ssinsanction  io.toBypassNetworkRCData := s1_RCReadData
494710b9efaSsinsanction  regCache.io.writePorts := io.fromBypassNetwork
495710b9efaSsinsanction
496730cfbc0SXuan Hu  val s1_addrOHs = Reg(MixedVec(
49783ba63b3SXuan Hu    fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType).toSeq)).toSeq
498730cfbc0SXuan Hu  ))
499730cfbc0SXuan Hu  val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec(
50083ba63b3SXuan Hu    toExu.map(x => MixedVec(x.map(_.valid.cloneType).toSeq)).toSeq
501730cfbc0SXuan Hu  ))
50283ba63b3SXuan Hu  val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType).toSeq)).toSeq))
50366f72636Sxiaofeibao-xjtu  val s1_immInfo = Reg(MixedVec(toExu.map(x => MixedVec(x.map(x => new ImmInfo).toSeq)).toSeq))
5043e7f92e5SsinceforYy  s1_immInfo.zip(fromIQ).map { case (s1Vec, s0Vec) =>
50566f72636Sxiaofeibao-xjtu    s1Vec.zip(s0Vec).map { case (s1, s0) =>
50641dbbdfdSsinceforYy      s1.imm := Mux(s0.valid, s0.bits.common.imm, s1.imm)
50741dbbdfdSsinceforYy      s1.immType := Mux(s0.valid, s0.bits.immType, s1.immType)
50866f72636Sxiaofeibao-xjtu    }
50966f72636Sxiaofeibao-xjtu  }
510712a039eSxiaofeibao-xjtu  io.og1ImmInfo.zip(s1_immInfo.flatten).map{ case(out, reg) =>
511712a039eSxiaofeibao-xjtu    out := reg
512712a039eSxiaofeibao-xjtu  }
5135f80df32Sxiaofeibao-xjtu  val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType).toSeq))))
5145f80df32Sxiaofeibao-xjtu  val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire)).toSeq)))
515730cfbc0SXuan Hu
5165f80df32Sxiaofeibao-xjtu  val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
51730f9248dSxiaofeibao  val s1_fpPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
5185f80df32Sxiaofeibao-xjtu  val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
519e4e52e7dSsinsanction  val s1_v0PregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
520e4e52e7dSsinsanction  val s1_vlPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
521730cfbc0SXuan Hu
522730cfbc0SXuan Hu  val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs))
523730cfbc0SXuan Hu
524730cfbc0SXuan Hu  println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}")
525730cfbc0SXuan Hu  s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
526730cfbc0SXuan Hu  s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) =>
527730cfbc0SXuan Hu      iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) =>
528b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
529b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[IntRD]) > 0 }
530b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := intRfRdata(cfg.find(_.isInstanceOf[IntRD]).get.port) }
531730cfbc0SXuan Hu      }
532730cfbc0SXuan Hu  }
533730cfbc0SXuan Hu
53430f9248dSxiaofeibao  println(s"[DataPath] s1_fpPregRData.flatten.flatten.size: ${s1_fpPregRData.flatten.flatten.size}, fpRfRdata.size: ${fpRfRdata.size}")
53530f9248dSxiaofeibao  s1_fpPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
53630f9248dSxiaofeibao  s1_fpPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) =>
53730f9248dSxiaofeibao      iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) =>
538b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
539b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[FpRD]) > 0 }
540b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := fpRfRdata(cfg.find(_.isInstanceOf[FpRD]).get.port) }
54130f9248dSxiaofeibao      }
54230f9248dSxiaofeibao  }
54330f9248dSxiaofeibao
544730cfbc0SXuan Hu  println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}")
545730cfbc0SXuan Hu  s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
546730cfbc0SXuan Hu  s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
547730cfbc0SXuan Hu      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
548b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
549b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VfRD]) > 0 }
550b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.find(_.isInstanceOf[VfRD]).get.port) }
551730cfbc0SXuan Hu      }
552730cfbc0SXuan Hu  }
553730cfbc0SXuan Hu
554e4e52e7dSsinsanction  println(s"[DataPath] s1_v0PregRData.flatten.flatten.size: ${s1_v0PregRData.flatten.flatten.size}, v0RfRdata.size: ${v0RfRdata.size}")
555e4e52e7dSsinsanction  s1_v0PregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
556e4e52e7dSsinsanction  s1_v0PregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
557e4e52e7dSsinsanction      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
558b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
559b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[V0RD]) > 0 }
560b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := v0RfRdata(cfg.find(_.isInstanceOf[V0RD]).get.port) }
561e4e52e7dSsinsanction      }
562e4e52e7dSsinsanction  }
563e4e52e7dSsinsanction
564e4e52e7dSsinsanction  println(s"[DataPath] s1_vlPregRData.flatten.flatten.size: ${s1_vlPregRData.flatten.flatten.size}, vlRfRdata.size: ${vlRfRdata.size}")
565e4e52e7dSsinsanction  s1_vlPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
566e4e52e7dSsinsanction  s1_vlPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
567e4e52e7dSsinsanction      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
568b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
569b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VlRD]) > 0 }
570b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := vlRfRdata(cfg.find(_.isInstanceOf[VlRD]).get.port) }
571e4e52e7dSsinsanction      }
572e4e52e7dSsinsanction  }
573e4e52e7dSsinsanction
574a58e75b4Sxiao feibao  val og0_cancel_no_load = VecInit(og0FailedVec2.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1).toSeq)
575a58e75b4Sxiao feibao  val exuParamsNoLoad = fromIQ.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu)
576a58e75b4Sxiao feibao  val is_0latency = Wire(Vec(og0_cancel_no_load.size, Bool()))
577a58e75b4Sxiao feibao  is_0latency := exuParamsNoLoad.map(x => is0latency(x._1.bits.common.fuType))
578a58e75b4Sxiao feibao  val og0_cancel_delay = RegNext(VecInit(og0_cancel_no_load.zip(is_0latency).map(x => x._1 && x._2)))
579730cfbc0SXuan Hu  for (i <- fromIQ.indices) {
580730cfbc0SXuan Hu    for (j <- fromIQ(i).indices) {
581730cfbc0SXuan Hu      // IQ(s0) --[Ctrl]--> s1Reg ---------- begin
582730cfbc0SXuan Hu      // refs
583730cfbc0SXuan Hu      val s1_valid = s1_toExuValid(i)(j)
584730cfbc0SXuan Hu      val s1_ready = s1_toExuReady(i)(j)
585730cfbc0SXuan Hu      val s1_data = s1_toExuData(i)(j)
586730cfbc0SXuan Hu      val s1_addrOH = s1_addrOHs(i)(j)
587730cfbc0SXuan Hu      val s0 = fromIQ(i)(j) // s0
588*1592abd1SYan Xu      PerfCCT.updateInstPos(s0.bits.common.debug_seqNum, PerfCCT.InstPos.AtIssueArb.id.U, s0.valid, clock, reset)
589*1592abd1SYan Xu      PerfCCT.updateInstPos(s1_data.debug_seqNum, PerfCCT.InstPos.AtIssueReadReg.id.U, s1_valid, clock, reset)
590c4fc226aSxiaofeibao-xjtu
591c4fc226aSxiaofeibao-xjtu      val srcNotBlock = Wire(Bool())
592e4e52e7dSsinsanction      srcNotBlock := s0.bits.common.dataSources.zip(intRdArbWinner(i)(j) zip fpRdArbWinner(i)(j) zip vfRdArbWinner(i)(j) zip v0RdArbWinner(i)(j) zip vlRdArbWinner(i)(j)).map {
593e4e52e7dSsinsanction        case (source, ((((win_int, win_fp), win_vf), win_v0), win_vl)) =>
594e4e52e7dSsinsanction        !source.readReg || win_int && win_fp && win_vf && win_v0 && win_vl
595670870b3SXuan Hu      }.fold(true.B)(_ && _)
596e4e52e7dSsinsanction      val notBlock = srcNotBlock && intWbNotBlock(i)(j) && fpWbNotBlock(i)(j) && vfWbNotBlock(i)(j) && v0WbNotBlock(i)(j) && vlWbNotBlock(i)(j)
597730cfbc0SXuan Hu      val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush)))
598c0be7f33SXuan Hu      val s1_cancel = og1FailedVec2(i)(j)
599e5feb625Sxiaofeibao-xjtu      val s0_cancel = Wire(Bool())
600e5feb625Sxiaofeibao-xjtu      if (s0.bits.exuParams.isIQWakeUpSink) {
601f57d73d6Ssinsanction        val exuOHNoLoad = s0.bits.common.exuSources.get.map(x => x.toExuOH(s0.bits.exuParams).zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1))
602e5feb625Sxiaofeibao-xjtu        s0_cancel := exuOHNoLoad.zip(s0.bits.common.dataSources).map{
603f57d73d6Ssinsanction          case (exuOH, dataSource) => (VecInit(exuOH).asUInt & og0_cancel_delay.asUInt).orR && dataSource.readForward
604e5feb625Sxiaofeibao-xjtu        }.reduce(_ || _) && s0.valid
605e5feb625Sxiaofeibao-xjtu      } else s0_cancel := false.B
606e5feb625Sxiaofeibao-xjtu      val s0_ldCancel = LoadShouldCancel(s0.bits.common.loadDependency, io.ldCancel)
60742b6cdf9Ssinsanction      when (s0.fire && !s1_flush && !s0_ldCancel) {
60842b6cdf9Ssinsanction        s1_valid := true.B
609730cfbc0SXuan Hu      }.otherwise {
610730cfbc0SXuan Hu        s1_valid := false.B
611730cfbc0SXuan Hu      }
6121e2f0986Sxiaofeibao-xjtu      when (s0.valid) {
6131e2f0986Sxiaofeibao-xjtu        s1_data.fromIssueBundle(s0.bits) // no src data here
6141e2f0986Sxiaofeibao-xjtu        s1_addrOH := s0.bits.addrOH
6151e2f0986Sxiaofeibao-xjtu      }
61642b6cdf9Ssinsanction      s0.ready := notBlock && !s0_cancel
617730cfbc0SXuan Hu      // IQ(s0) --[Ctrl]--> s1Reg ---------- end
618730cfbc0SXuan Hu    }
619730cfbc0SXuan Hu  }
620730cfbc0SXuan Hu
621ea0f92d8Sczw  private val fromIQFire = fromIQ.map(_.map(_.fire))
622ea0f92d8Sczw  private val toExuFire = toExu.map(_.map(_.fire))
623730cfbc0SXuan Hu  toIQs.zipWithIndex.foreach {
624730cfbc0SXuan Hu    case(toIQ, iqIdx) =>
625730cfbc0SXuan Hu      toIQ.zipWithIndex.foreach {
626730cfbc0SXuan Hu        case (toIU, iuIdx) =>
627730cfbc0SXuan Hu          // IU: issue unit
628730cfbc0SXuan Hu          val og0resp = toIU.og0resp
62942b6cdf9Ssinsanction          og0FailedVec2(iqIdx)(iuIdx)   := fromIQ(iqIdx)(iuIdx).valid && !fromIQ(iqIdx)(iuIdx).ready
630c0be7f33SXuan Hu          og0resp.valid                 := og0FailedVec2(iqIdx)(iuIdx)
6315db4956bSzhanglyGit          og0resp.bits.robIdx           := fromIQ(iqIdx)(iuIdx).bits.common.robIdx
632aa2bcc31SzhanglyGit          og0resp.bits.uopIdx.foreach(_ := fromIQ(iqIdx)(iuIdx).bits.common.vpu.get.vuopIdx)
63338f78b5dSxiaofeibao-xjtu          og0resp.bits.sqIdx.foreach(_ := 0.U.asTypeOf(new SqPtr))
63428ac1c16Sxiaofeibao-xjtu          og0resp.bits.lqIdx.foreach(_ := 0.U.asTypeOf(new LqPtr))
635f08a822fSzhanglyGit          og0resp.bits.resp             := RespType.block
6368d29ec32Sczw          og0resp.bits.fuType           := fromIQ(iqIdx)(iuIdx).bits.common.fuType
637730cfbc0SXuan Hu
638730cfbc0SXuan Hu          val og1resp = toIU.og1resp
63942b6cdf9Ssinsanction          og1FailedVec2(iqIdx)(iuIdx)   := s1_toExuValid(iqIdx)(iuIdx) && !s1_toExuReady(iqIdx)(iuIdx)
640730cfbc0SXuan Hu          og1resp.valid                 := s1_toExuValid(iqIdx)(iuIdx)
641f08a822fSzhanglyGit          og1resp.bits.robIdx           := s1_toExuData(iqIdx)(iuIdx).robIdx
642145dfe39SXuan Hu          og1resp.bits.uopIdx.foreach(_ := s1_toExuData(iqIdx)(iuIdx).vpu.get.vuopIdx)
64338f78b5dSxiaofeibao-xjtu          og1resp.bits.sqIdx.foreach(_ :=  0.U.asTypeOf(new SqPtr))
64428ac1c16Sxiaofeibao-xjtu          og1resp.bits.lqIdx.foreach(_ :=  0.U.asTypeOf(new LqPtr))
64542b6cdf9Ssinsanction          // respType:  success    -> IQ entry clear
64642b6cdf9Ssinsanction          //            uncertain  -> IQ entry no action
64742b6cdf9Ssinsanction          //            block      -> IQ entry issued set false, then re-issue
64842b6cdf9Ssinsanction          // hyu, lda and sta are uncertain at OG1 stage
649bb891c83Ssinsanction          // and all vector arith exu should check success in og2 stage
6505d71bc4aSXuan Hu          og1resp.bits.resp             := Mux(og1FailedVec2(iqIdx)(iuIdx),
6515d71bc4aSXuan Hu            RespType.block,
652bb891c83Ssinsanction            if (toIU.issueQueueParams match { case x => x.isLdAddrIQ || x.isStAddrIQ || x.isHyAddrIQ || x.isVecLduIQ || x.isVecStuIQ || x.inVfSchd})
6535d71bc4aSXuan Hu              RespType.uncertain
6545d71bc4aSXuan Hu            else
6555d71bc4aSXuan Hu              RespType.success,
656e8800897SXuan Hu          )
6578d29ec32Sczw          og1resp.bits.fuType           := s1_toExuData(iqIdx)(iuIdx).fuType
658730cfbc0SXuan Hu      }
659730cfbc0SXuan Hu  }
6608a00ff56SXuan Hu
661be9ff987Ssinsanction  io.og0Cancel := og0FailedVec2.flatten.zip(params.allExuParams).map{ case (cancel, params) =>
662be9ff987Ssinsanction                    if (params.isIQWakeUpSource && params.latencyCertain && params.wakeUpFuLatancySet.contains(0)) cancel else false.B
663be9ff987Ssinsanction                  }.toSeq
664be9ff987Ssinsanction  io.og1Cancel := toFlattenExu.map(x => x.valid && !x.fire)
665c0be7f33SXuan Hu
666bc7d6943SzhanglyGit
667a58e75b4Sxiao feibao  if (backendParams.debugEn){
668a58e75b4Sxiao feibao    dontTouch(og0_cancel_no_load)
669a58e75b4Sxiao feibao    dontTouch(is_0latency)
670a58e75b4Sxiao feibao    dontTouch(og0_cancel_delay)
671a58e75b4Sxiao feibao  }
672730cfbc0SXuan Hu  for (i <- toExu.indices) {
673730cfbc0SXuan Hu    for (j <- toExu(i).indices) {
674730cfbc0SXuan Hu      // s1Reg --[Ctrl]--> exu(s1) ---------- begin
675730cfbc0SXuan Hu      // refs
676730cfbc0SXuan Hu      val sinkData = toExu(i)(j).bits
677730cfbc0SXuan Hu      // assign
678730cfbc0SXuan Hu      toExu(i)(j).valid := s1_toExuValid(i)(j)
679730cfbc0SXuan Hu      s1_toExuReady(i)(j) := toExu(i)(j).ready
680730cfbc0SXuan Hu      sinkData := s1_toExuData(i)(j)
681730cfbc0SXuan Hu      // s1Reg --[Ctrl]--> exu(s1) ---------- end
682730cfbc0SXuan Hu
683730cfbc0SXuan Hu      // s1Reg --[Data]--> exu(s1) ---------- begin
684730cfbc0SXuan Hu      // data source1: preg read data
685730cfbc0SXuan Hu      for (k <- sinkData.src.indices) {
686730cfbc0SXuan Hu        val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k)
687e4e52e7dSsinsanction        val readRfMap: Seq[(Bool, UInt)] = (
688e4e52e7dSsinsanction          if (k == 3) {(
689e4e52e7dSsinsanction            Seq(None)
690e4e52e7dSsinsanction            :+
691e4e52e7dSsinsanction            OptionWrapper(s1_v0PregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(V0RegSrcDataSet).nonEmpty,
692e4e52e7dSsinsanction              (SrcType.isV0(s1_srcType(i)(j)(k)) -> s1_v0PregRData(i)(j)(k)))
693e4e52e7dSsinsanction          )}
694e4e52e7dSsinsanction          else if (k == 4) {(
695e4e52e7dSsinsanction            Seq(None)
696e4e52e7dSsinsanction            :+
697e4e52e7dSsinsanction            OptionWrapper(s1_vlPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VlRegSrcDataSet).nonEmpty,
698e4e52e7dSsinsanction              (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vlPregRData(i)(j)(k)))
699e4e52e7dSsinsanction          )}
700e4e52e7dSsinsanction          else {(
701e4e52e7dSsinsanction            Seq(None)
702e4e52e7dSsinsanction            :+
703e4e52e7dSsinsanction            OptionWrapper(s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty,
704e4e52e7dSsinsanction              (SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)))
705e4e52e7dSsinsanction            :+
706fbe46a0aSxiaofeibao            OptionWrapper(s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VecRegSrcDataSet).nonEmpty,
707e4e52e7dSsinsanction              (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vfPregRData(i)(j)(k)))
708e4e52e7dSsinsanction            :+
709e4e52e7dSsinsanction            OptionWrapper(s1_fpPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(FpRegSrcDataSet).nonEmpty,
710e4e52e7dSsinsanction              (SrcType.isFp(s1_srcType(i)(j)(k)) -> s1_fpPregRData(i)(j)(k)))
711e4e52e7dSsinsanction          )}
712730cfbc0SXuan Hu        ).filter(_.nonEmpty).map(_.get)
713e4e52e7dSsinsanction
714730cfbc0SXuan Hu        if (readRfMap.nonEmpty)
715730cfbc0SXuan Hu          sinkData.src(k) := Mux1H(readRfMap)
716730cfbc0SXuan Hu      }
717c37914a4Sxiaofeibao      if (sinkData.params.hasJmpFu || sinkData.params.hasLoadFu) {
7185f80df32Sxiaofeibao-xjtu        val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params)
7195f80df32Sxiaofeibao-xjtu        sinkData.pc.get := pcRdata(index)
720da778e6fSXuan Hu      }
721ce95ff3aSsinsanction      if (sinkData.params.needTarget) {
722ce95ff3aSsinsanction        val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params)
723ce95ff3aSsinsanction        sinkData.predictInfo.get.target := targetPCRdata(index)
724ce95ff3aSsinsanction      }
725730cfbc0SXuan Hu    }
726730cfbc0SXuan Hu  }
727730cfbc0SXuan Hu
728730cfbc0SXuan Hu  if (env.AlwaysBasicDiff || env.EnableDifftest) {
729730cfbc0SXuan Hu    val delayedCnt = 2
73083ba63b3SXuan Hu    val difftestArchIntRegState = DifftestModule(new DiffArchIntRegState, delay = delayedCnt)
73183ba63b3SXuan Hu    difftestArchIntRegState.coreid := io.hartId
73263d67ef3STang Haojin    difftestArchIntRegState.value := intDiffRead.get._2
733730cfbc0SXuan Hu
73483ba63b3SXuan Hu    val difftestArchFpRegState = DifftestModule(new DiffArchFpRegState, delay = delayedCnt)
73583ba63b3SXuan Hu    difftestArchFpRegState.coreid := io.hartId
73663d67ef3STang Haojin    difftestArchFpRegState.value := fpDiffReadData.get
737730cfbc0SXuan Hu
73883ba63b3SXuan Hu    val difftestArchVecRegState = DifftestModule(new DiffArchVecRegState, delay = delayedCnt)
73983ba63b3SXuan Hu    difftestArchVecRegState.coreid := io.hartId
74063d67ef3STang Haojin    difftestArchVecRegState.value := vecDiffReadData.get
741730cfbc0SXuan Hu  }
742a81bbc0aSZhangZifei
743a81bbc0aSZhangZifei  val int_regcache_size = 48
744a81bbc0aSZhangZifei  val int_regcache_tag = RegInit(VecInit(Seq.fill(int_regcache_size)(0.U(intSchdParams.pregIdxWidth.W))))
745a81bbc0aSZhangZifei  val int_regcache_enqPtr = RegInit(0.U(log2Up(int_regcache_size).W))
746a81bbc0aSZhangZifei  int_regcache_enqPtr := int_regcache_enqPtr + PopCount(intRfWen)
747a81bbc0aSZhangZifei  for (i <- intRfWen.indices) {
748a81bbc0aSZhangZifei    when (intRfWen(i)) {
749a81bbc0aSZhangZifei      int_regcache_tag(int_regcache_enqPtr + PopCount(intRfWen.take(i))) := intRfWaddr(i)
750a81bbc0aSZhangZifei    }
751a81bbc0aSZhangZifei  }
752a81bbc0aSZhangZifei
753a81bbc0aSZhangZifei  val vf_regcache_size = 48
754a81bbc0aSZhangZifei  val vf_regcache_tag = RegInit(VecInit(Seq.fill(vf_regcache_size)(0.U(vfSchdParams.pregIdxWidth.W))))
755a81bbc0aSZhangZifei  val vf_regcache_enqPtr = RegInit(0.U(log2Up(vf_regcache_size).W))
756a81bbc0aSZhangZifei  vf_regcache_enqPtr := vf_regcache_enqPtr + PopCount(vfRfWen.head)
757a81bbc0aSZhangZifei  for (i <- vfRfWen.indices) {
758a81bbc0aSZhangZifei    when (vfRfWen.head(i)) {
759a81bbc0aSZhangZifei      vf_regcache_tag(vf_regcache_enqPtr + PopCount(vfRfWen.head.take(i))) := vfRfWaddr(i)
760a81bbc0aSZhangZifei    }
761a81bbc0aSZhangZifei  }
762a81bbc0aSZhangZifei
763e43bb916SXuan Hu  v0RdPortsIter = vecExcpUseV0RdPorts.iterator
764e43bb916SXuan Hu  for (i <- toVecExcp.rdata.indices) {
765e43bb916SXuan Hu    toVecExcp.rdata(i).valid := RegNext(fromVecExcp.r(i).valid)
766e43bb916SXuan Hu    toVecExcp.rdata(i).bits := Mux(
767e43bb916SXuan Hu      RegEnable(!fromVecExcp.r(i).bits.isV0, fromVecExcp.r(i).valid),
768e43bb916SXuan Hu      vfRfRdata(vecExcpUseVecRdPorts(i)),
769e43bb916SXuan Hu      if (i % maxMergeNumPerCycle == 0) v0RfRdata(v0RdPortsIter.next()) else 0.U,
770e43bb916SXuan Hu    )
771e43bb916SXuan Hu  }
772e43bb916SXuan Hu
773a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRegFileRead_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
77460f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRegFileRead_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
775a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRegFileRead_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
776a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRegFileWrite_hist", PopCount(intRFWriteReq.flatten), true.B, 0, 20, 1)
77760f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRegFileWrite_hist", PopCount(fpRFWriteReq.flatten), true.B, 0, 20, 1)
778a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRegFileWrite_hist", PopCount(vfRFWriteReq.flatten), true.B, 0, 20, 1)
779a81bbc0aSZhangZifei
780a81bbc0aSZhangZifei  val int_regcache_part32 = (1 until 33).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
781a81bbc0aSZhangZifei  val int_regcache_part24 = (1 until 24).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
782a81bbc0aSZhangZifei  val int_regcache_part16 = (1 until 17).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
783a81bbc0aSZhangZifei  val int_regcache_part8 = (1 until 9).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
784a81bbc0aSZhangZifei
785a81bbc0aSZhangZifei  val int_regcache_48_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_tag.map(_ === x.bits.addr).reduce(_ || _))
786a81bbc0aSZhangZifei  val int_regcache_8_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part8.map(_ === x.bits.addr).reduce(_ || _))
787a81bbc0aSZhangZifei  val int_regcache_16_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part16.map(_ === x.bits.addr).reduce(_ || _))
788a81bbc0aSZhangZifei  val int_regcache_24_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part24.map(_ === x.bits.addr).reduce(_ || _))
789a81bbc0aSZhangZifei  val int_regcache_32_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part32.map(_ === x.bits.addr).reduce(_ || _))
790a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache48Hit", PopCount(int_regcache_48_hit_vec))
791a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache8Hit", PopCount(int_regcache_8_hit_vec))
792a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache16Hit", PopCount(int_regcache_16_hit_vec))
793a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache24Hit", PopCount(int_regcache_24_hit_vec))
794a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache32Hit", PopCount(int_regcache_32_hit_vec))
795a81bbc0aSZhangZifei  XSPerfHistogram("IntRegCache48Hit_hist", PopCount(int_regcache_48_hit_vec), true.B, 0, 16, 2)
796a81bbc0aSZhangZifei
797a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntRFReadBeforeArb", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
798a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntRFReadAfterArb", PopCount(intRFReadArbiter.io.out.map(_.valid)))
79960f0c5aeSxiaofeibao  XSPerfAccumulate(s"FpRFReadBeforeArb", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
80060f0c5aeSxiaofeibao  XSPerfAccumulate(s"FpRFReadAfterArb", PopCount(fpRFReadArbiter.io.out.map(_.valid)))
801a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfRFReadBeforeArb", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
802a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfRFReadAfterArb", PopCount(vfRFReadArbiter.io.out.map(_.valid)))
803a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntUopBeforeArb", PopCount(fromIntIQ.flatten.map(_.valid)))
804a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntUopAfterArb", PopCount(fromIntIQ.flatten.map(_.fire)))
805a81bbc0aSZhangZifei  XSPerfAccumulate(s"MemUopBeforeArb", PopCount(fromMemIQ.flatten.map(_.valid)))
806a81bbc0aSZhangZifei  XSPerfAccumulate(s"MemUopAfterArb", PopCount(fromMemIQ.flatten.map(_.fire)))
807a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfUopBeforeArb", PopCount(fromVfIQ.flatten.map(_.valid)))
808a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfUopAfterArb", PopCount(fromVfIQ.flatten.map(_.fire)))
809a81bbc0aSZhangZifei
810a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRFReadBeforeArb_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
811a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRFReadAfterArb_hist", PopCount(intRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
81260f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRFReadBeforeArb_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
81360f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRFReadAfterArb_hist", PopCount(fpRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
814a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRFReadBeforeArb_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
815a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRFReadAfterArb_hist", PopCount(vfRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
816a81bbc0aSZhangZifei  XSPerfHistogram(s"IntUopBeforeArb_hist", PopCount(fromIntIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
817a81bbc0aSZhangZifei  XSPerfHistogram(s"IntUopAfterArb_hist", PopCount(fromIntIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
818a81bbc0aSZhangZifei  XSPerfHistogram(s"MemUopBeforeArb_hist", PopCount(fromMemIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
819a81bbc0aSZhangZifei  XSPerfHistogram(s"MemUopAfterArb_hist", PopCount(fromMemIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
820a81bbc0aSZhangZifei  XSPerfHistogram(s"VfUopBeforeArb_hist", PopCount(fromVfIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
821a81bbc0aSZhangZifei  XSPerfHistogram(s"VfUopAfterArb_hist", PopCount(fromVfIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
822710b9efaSsinsanction
823710b9efaSsinsanction  // datasource perf counter (after arbiter)
824710b9efaSsinsanction  fromIQ.foreach(iq => iq.foreach{exu =>
825710b9efaSsinsanction    val exuParams = exu.bits.exuParams
826710b9efaSsinsanction    if (exuParams.isIntExeUnit) {
827710b9efaSsinsanction      for (i <- 0 until 2) {
828710b9efaSsinsanction        XSPerfAccumulate(s"INT_ExuId${exuParams.exuIdx}_src${i}_dataSource_forward",  exu.fire && exu.bits.common.dataSources(i).readForward)
829710b9efaSsinsanction        XSPerfAccumulate(s"INT_ExuId${exuParams.exuIdx}_src${i}_dataSource_bypass",   exu.fire && exu.bits.common.dataSources(i).readBypass)
830710b9efaSsinsanction        XSPerfAccumulate(s"INT_ExuId${exuParams.exuIdx}_src${i}_dataSource_regcache", exu.fire && exu.bits.common.dataSources(i).readRegCache)
831710b9efaSsinsanction        XSPerfAccumulate(s"INT_ExuId${exuParams.exuIdx}_src${i}_dataSource_reg",      exu.fire && exu.bits.common.dataSources(i).readReg)
832710b9efaSsinsanction        XSPerfAccumulate(s"INT_ExuId${exuParams.exuIdx}_src${i}_dataSource_zero",     exu.fire && exu.bits.common.dataSources(i).readZero)
833710b9efaSsinsanction      }
834710b9efaSsinsanction    }
835710b9efaSsinsanction    if (exuParams.isMemExeUnit && exuParams.readIntRf) {
836710b9efaSsinsanction      XSPerfAccumulate(s"MEM_ExuId${exuParams.exuIdx}_src0_dataSource_forward",  exu.fire && exu.bits.common.dataSources(0).readForward)
837710b9efaSsinsanction      XSPerfAccumulate(s"MEM_ExuId${exuParams.exuIdx}_src0_dataSource_bypass",   exu.fire && exu.bits.common.dataSources(0).readBypass)
838710b9efaSsinsanction      XSPerfAccumulate(s"MEM_ExuId${exuParams.exuIdx}_src0_dataSource_regcache", exu.fire && exu.bits.common.dataSources(0).readRegCache)
839710b9efaSsinsanction      XSPerfAccumulate(s"MEM_ExuId${exuParams.exuIdx}_src0_dataSource_reg",      exu.fire && exu.bits.common.dataSources(0).readReg)
840710b9efaSsinsanction      XSPerfAccumulate(s"MEM_ExuId${exuParams.exuIdx}_src0_dataSource_zero",     exu.fire && exu.bits.common.dataSources(0).readZero)
841710b9efaSsinsanction    }
842710b9efaSsinsanction  })
843e836c770SZhaoyang You
844e836c770SZhaoyang You  // Top-Down
845e836c770SZhaoyang You  def FewUops = 4
846e836c770SZhaoyang You
847e836c770SZhaoyang You  val lqEmpty = io.topDownInfo.lqEmpty
848e836c770SZhaoyang You  val sqEmpty = io.topDownInfo.sqEmpty
849e836c770SZhaoyang You  val l1Miss = io.topDownInfo.l1Miss
850e836c770SZhaoyang You  val l2Miss = io.topDownInfo.l2TopMiss.l2Miss
851e836c770SZhaoyang You  val l3Miss = io.topDownInfo.l2TopMiss.l3Miss
852e836c770SZhaoyang You
853e836c770SZhaoyang You  val uopsIssued = fromIQ.flatten.map(_.fire).reduce(_ || _)
854e836c770SZhaoyang You  val uopsIssuedCnt = PopCount(fromIQ.flatten.map(_.fire))
855e836c770SZhaoyang You  val fewUopsIssued = (0 until FewUops).map(_.U === uopsIssuedCnt).reduce(_ || _)
856e836c770SZhaoyang You
857e836c770SZhaoyang You  val stallLoad = !uopsIssued
858e836c770SZhaoyang You
859e836c770SZhaoyang You  val noStoreIssued = !fromMemIQ.flatten.filter(memIq => memIq.bits.exuParams.fuConfigs.contains(FuConfig.StaCfg) ||
860e836c770SZhaoyang You                                                         memIq.bits.exuParams.fuConfigs.contains(FuConfig.StdCfg)
861e836c770SZhaoyang You  ).map(_.fire).reduce(_ || _)
862e836c770SZhaoyang You  val stallStore = uopsIssued && noStoreIssued
863e836c770SZhaoyang You
864e836c770SZhaoyang You  val stallLoadReg = DelayN(stallLoad, 2)
865e836c770SZhaoyang You  val stallStoreReg = DelayN(stallStore, 2)
866e836c770SZhaoyang You
867e836c770SZhaoyang You  val memStallAnyLoad = stallLoadReg && !lqEmpty
868e836c770SZhaoyang You  val memStallStore = stallStoreReg && !sqEmpty
869e836c770SZhaoyang You  val memStallL1Miss = memStallAnyLoad && l1Miss
870e836c770SZhaoyang You  val memStallL2Miss = memStallL1Miss && l2Miss
871e836c770SZhaoyang You  val memStallL3Miss = memStallL2Miss && l3Miss
872e836c770SZhaoyang You
873e836c770SZhaoyang You  io.topDownInfo.noUopsIssued := stallLoad
874e836c770SZhaoyang You
875e836c770SZhaoyang You  XSPerfAccumulate("exec_stall_cycle",   fewUopsIssued)
876e836c770SZhaoyang You  XSPerfAccumulate("mem_stall_store",    memStallStore)
877e836c770SZhaoyang You  XSPerfAccumulate("mem_stall_l1miss",   memStallL1Miss)
878e836c770SZhaoyang You  XSPerfAccumulate("mem_stall_l2miss",   memStallL2Miss)
879e836c770SZhaoyang You  XSPerfAccumulate("mem_stall_l3miss",   memStallL3Miss)
880e836c770SZhaoyang You
881e836c770SZhaoyang You  val perfEvents = Seq(
882e836c770SZhaoyang You    ("EXEC_STALL_CYCLE",  fewUopsIssued),
883e836c770SZhaoyang You    ("MEMSTALL_STORE",    memStallStore),
884e836c770SZhaoyang You    ("MEMSTALL_L1MISS",   memStallL1Miss),
885e836c770SZhaoyang You    ("MEMSTALL_L2MISS",   memStallL2Miss),
886e836c770SZhaoyang You    ("MEMSTALL_L3MISS",   memStallL3Miss),
887e836c770SZhaoyang You  )
888e836c770SZhaoyang You  generatePerfEvent()
889730cfbc0SXuan Hu}
890730cfbc0SXuan Hu
891730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
892730cfbc0SXuan Hu  // params
893730cfbc0SXuan Hu  private val intSchdParams = params.schdParams(IntScheduler())
89460f0c5aeSxiaofeibao  private val fpSchdParams = params.schdParams(FpScheduler())
895730cfbc0SXuan Hu  private val vfSchdParams = params.schdParams(VfScheduler())
896730cfbc0SXuan Hu  private val memSchdParams = params.schdParams(MemScheduler())
897730cfbc0SXuan Hu  // bundles
898730cfbc0SXuan Hu  val hartId = Input(UInt(8.W))
899730cfbc0SXuan Hu
900730cfbc0SXuan Hu  val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect))
901730cfbc0SXuan Hu
9022e0a7dc5Sfdy  val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle())))))
9032e0a7dc5Sfdy
904730cfbc0SXuan Hu  val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
905730cfbc0SXuan Hu    Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
906730cfbc0SXuan Hu
90760f0c5aeSxiaofeibao  val fromFpIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
90860f0c5aeSxiaofeibao    Flipped(MixedVec(fpSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
90960f0c5aeSxiaofeibao
910730cfbc0SXuan Hu  val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
911730cfbc0SXuan Hu    Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
912730cfbc0SXuan Hu
913730cfbc0SXuan Hu  val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
914730cfbc0SXuan Hu
915e43bb916SXuan Hu  val fromVecExcpMod = Input(new ExcpModToVprf(maxMergeNumPerCycle * 2, maxMergeNumPerCycle))
916e43bb916SXuan Hu
917730cfbc0SXuan Hu  val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle))
918730cfbc0SXuan Hu
91960f0c5aeSxiaofeibao  val toFpIQ = MixedVec(fpSchdParams.issueBlockParams.map(_.genOGRespBundle))
92060f0c5aeSxiaofeibao
921730cfbc0SXuan Hu  val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle))
922730cfbc0SXuan Hu
923730cfbc0SXuan Hu  val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle))
924730cfbc0SXuan Hu
925e43bb916SXuan Hu  val toVecExcpMod = Output(new VprfToExcpMod(maxMergeNumPerCycle * 2))
926e43bb916SXuan Hu
927be9ff987Ssinsanction  val og0Cancel = Output(ExuVec())
92810fe9778SXuan Hu
929be9ff987Ssinsanction  val og1Cancel = Output(ExuVec())
930c0be7f33SXuan Hu
9316810d1e8Ssfencevma  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
9320f55a0d3SHaojin Tang
933730cfbc0SXuan Hu  val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle
934730cfbc0SXuan Hu
93560f0c5aeSxiaofeibao  val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(fpSchdParams.genExuInputBundle)
93660f0c5aeSxiaofeibao
93760f0c5aeSxiaofeibao  val toVecExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle)
938730cfbc0SXuan Hu
939730cfbc0SXuan Hu  val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle
940730cfbc0SXuan Hu
941712a039eSxiaofeibao-xjtu  val og1ImmInfo: Vec[ImmInfo] = Output(Vec(params.allExuParams.size, new ImmInfo))
942712a039eSxiaofeibao-xjtu
943730cfbc0SXuan Hu  val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle)
944730cfbc0SXuan Hu
94560f0c5aeSxiaofeibao  val fromFpWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genFpWriteBackBundle)
94660f0c5aeSxiaofeibao
947730cfbc0SXuan Hu  val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle)
948730cfbc0SXuan Hu
949e4e52e7dSsinsanction  val fromV0Wb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genV0WriteBackBundle)
950e4e52e7dSsinsanction
951e4e52e7dSsinsanction  val fromVlWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVlWriteBackBundle)
952e4e52e7dSsinsanction
953ce95ff3aSsinsanction  val fromPcTargetMem = Flipped(new PcToDataPathIO(params))
9545f80df32Sxiaofeibao-xjtu
955710b9efaSsinsanction  val fromBypassNetwork: Vec[RCWritePort] = Vec(params.getIntExuRCWriteSize + params.getMemExuRCWriteSize,
956102ba843Ssinsanction    new RCWritePort(params.intSchdParams.get.rfDataWidth, RegCacheIdxWidth, params.intSchdParams.get.pregIdxWidth, params.debugEn)
957102ba843Ssinsanction  )
958102ba843Ssinsanction
959102ba843Ssinsanction  val toBypassNetworkRCData: MixedVec[MixedVec[Vec[UInt]]] = MixedVec(
960102ba843Ssinsanction    Seq(intSchdParams, fpSchdParams, vfSchdParams, memSchdParams).map(schd => schd.issueBlockParams.map(iq =>
961102ba843Ssinsanction      MixedVec(iq.exuBlockParams.map(exu => Output(Vec(exu.numRegSrc, UInt(exu.srcDataBitsMax.W)))))
962102ba843Ssinsanction    )).flatten
963102ba843Ssinsanction  )
964710b9efaSsinsanction
965710b9efaSsinsanction  val toWakeupQueueRCIdx: Vec[UInt] = Vec(params.getIntExuRCWriteSize + params.getMemExuRCWriteSize,
966102ba843Ssinsanction    Output(UInt(RegCacheIdxWidth.W))
967102ba843Ssinsanction  )
968710b9efaSsinsanction
96963d67ef3STang Haojin  val diffIntRat = if (params.basicDebugEn) Some(Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W)))) else None
97063d67ef3STang Haojin  val diffFpRat  = if (params.basicDebugEn) Some(Input(Vec(32, UInt(fpSchdParams.pregIdxWidth.W)))) else None
97163d67ef3STang Haojin  val diffVecRat = if (params.basicDebugEn) Some(Input(Vec(31, UInt(vfSchdParams.pregIdxWidth.W)))) else None
97263d67ef3STang Haojin  val diffV0Rat  = if (params.basicDebugEn) Some(Input(Vec(1, UInt(log2Up(V0PhyRegs).W)))) else None
97363d67ef3STang Haojin  val diffVlRat  = if (params.basicDebugEn) Some(Input(Vec(1, UInt(log2Up(VlPhyRegs).W)))) else None
97463d67ef3STang Haojin  val diffVl     = if (params.basicDebugEn) Some(Output(UInt(VlData().dataWidth.W))) else None
975e836c770SZhaoyang You
976e836c770SZhaoyang You  val topDownInfo = new TopDownInfo
977730cfbc0SXuan Hu}
978