1730cfbc0SXuan Hupackage xiangshan.backend.datapath 2730cfbc0SXuan Hu 383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 439c59369SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 683ba63b3SXuan Huimport difftest.{DiffArchFpRegState, DiffArchIntRegState, DiffArchVecRegState, DifftestModule} 7730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8730cfbc0SXuan Huimport utility._ 939c59369SXuan Huimport utils.SeqUtils._ 10730cfbc0SXuan Huimport xiangshan._ 11730cfbc0SXuan Huimport xiangshan.backend.BackendParams 1239c59369SXuan Huimport xiangshan.backend.Bundles._ 13f4dcd9fcSsinsanctionimport xiangshan.backend.decode.ImmUnion 14730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 15730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._ 16730cfbc0SXuan Huimport xiangshan.backend.issue.{ImmExtractor, IntScheduler, MemScheduler, VfScheduler} 1783ba63b3SXuan Huimport xiangshan.backend.implicitCast._ 18730cfbc0SXuan Huimport xiangshan.backend.regfile._ 19730cfbc0SXuan Hu 20730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule { 21*1ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 22*1ca4a39dSXuan Hu 23730cfbc0SXuan Hu private implicit val dpParams: BackendParams = params 24730cfbc0SXuan Hu lazy val module = new DataPathImp(this) 2539c59369SXuan Hu 2639c59369SXuan Hu println(s"[DataPath] Preg Params: ") 2739c59369SXuan Hu println(s"[DataPath] Int R(${params.getRfReadSize(IntData())}), W(${params.getRfWriteSize(IntData())}) ") 2839c59369SXuan Hu println(s"[DataPath] Vf R(${params.getRfReadSize(VecData())}), W(${params.getRfWriteSize(VecData())}) ") 29730cfbc0SXuan Hu} 30730cfbc0SXuan Hu 31730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams) 32730cfbc0SXuan Hu extends LazyModuleImp(wrapper) with HasXSParameter { 33730cfbc0SXuan Hu 34d91483a6Sfdy private val VCONFIG_PORT = params.vconfigPort 35d91483a6Sfdy 36730cfbc0SXuan Hu val io = IO(new DataPathIO()) 37730cfbc0SXuan Hu 38730cfbc0SXuan Hu private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu) 39730cfbc0SXuan Hu private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu) 40730cfbc0SXuan Hu private val (fromVfIQ , toVfIQ , toVfExu ) = (io.fromVfIQ , io.toVfIQ , io.toFpExu) 41730cfbc0SXuan Hu 42730cfbc0SXuan Hu println(s"[DataPath] IntIQ(${fromIntIQ.size}), MemIQ(${fromMemIQ.size})") 43730cfbc0SXuan Hu println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})") 44730cfbc0SXuan Hu 45730cfbc0SXuan Hu // just refences for convience 4683ba63b3SXuan Hu private val fromIQ: Seq[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = fromIntIQ ++ fromVfIQ ++ fromMemIQ 47730cfbc0SXuan Hu 48730cfbc0SXuan Hu private val toIQs = toIntIQ ++ toVfIQ ++ toMemIQ 49730cfbc0SXuan Hu 5083ba63b3SXuan Hu private val toExu: Seq[MixedVec[DecoupledIO[ExuInput]]] = toIntExu ++ toVfExu ++ toMemExu 51730cfbc0SXuan Hu 5283ba63b3SXuan Hu private val fromFlattenIQ: Seq[DecoupledIO[IssueQueueIssueBundle]] = fromIQ.flatten 5310fe9778SXuan Hu 5410fe9778SXuan Hu private val toFlattenExu: Seq[DecoupledIO[ExuInput]] = toExu.flatten 5510fe9778SXuan Hu 5639c59369SXuan Hu private val intWbBusyArbiter = Module(new IntRFWBCollideChecker(backendParams)) 5739c59369SXuan Hu private val vfWbBusyArbiter = Module(new VfRFWBCollideChecker(backendParams)) 5839c59369SXuan Hu private val intRFReadArbiter = Module(new IntRFReadArbiter(backendParams)) 5939c59369SXuan Hu private val vfRFReadArbiter = Module(new VfRFReadArbiter(backendParams)) 60730cfbc0SXuan Hu 6183ba63b3SXuan Hu private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq)) 6283ba63b3SXuan Hu private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq)) 63c0be7f33SXuan Hu 6439c59369SXuan Hu // port -> win 6583ba63b3SXuan Hu private val intRdArbWinner: Seq2[MixedVec[Bool]] = intRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 6683ba63b3SXuan Hu private val vfRdArbWinner: Seq2[MixedVec[Bool]] = vfRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 6783ba63b3SXuan Hu private val intWbNotBlock: Seq[MixedVec[Bool]] = intWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 6883ba63b3SXuan Hu private val vfWbNotBlock: Seq[MixedVec[Bool]] = vfWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 69730cfbc0SXuan Hu 7039c59369SXuan Hu private val intRdNotBlock: Seq2[Bool] = intRdArbWinner.map(_.map(_.asUInt.andR)) 7139c59369SXuan Hu private val vfRdNotBlock: Seq2[Bool] = vfRdArbWinner.map(_.map(_.asUInt.andR)) 72730cfbc0SXuan Hu 7383ba63b3SXuan Hu private val intRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getIntRfReadValidBundle(xx.valid)).toSeq).toSeq 74b6b11f60SXuan Hu 7539c59369SXuan Hu intRFReadArbiter.io.in.zip(intRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 7639c59369SXuan Hu arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 7739c59369SXuan Hu val srcIndices: Seq[Int] = fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(IntData()) 7839c59369SXuan Hu for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 7939c59369SXuan Hu if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 8039c59369SXuan Hu arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid 8139c59369SXuan Hu arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 8239c59369SXuan Hu } else { 8339c59369SXuan Hu arbInSeq(srcIdx).valid := false.B 8439c59369SXuan Hu arbInSeq(srcIdx).bits.addr := 0.U 853fd20becSczw } 8639c59369SXuan Hu } 8739c59369SXuan Hu } 8839c59369SXuan Hu } 892e0a7dc5Sfdy 9083ba63b3SXuan Hu private val vfRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getVfRfReadValidBundle(xx.valid)).toSeq).toSeq 9139c59369SXuan Hu 9239c59369SXuan Hu vfRFReadArbiter.io.in.zip(vfRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 9339c59369SXuan Hu arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 9439c59369SXuan Hu val srcIndices: Seq[Int] = VfRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 9539c59369SXuan Hu for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 9639c59369SXuan Hu if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 9739c59369SXuan Hu arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid 9839c59369SXuan Hu arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 9939c59369SXuan Hu } else { 10039c59369SXuan Hu arbInSeq(srcIdx).valid := false.B 10139c59369SXuan Hu arbInSeq(srcIdx).bits.addr := 0.U 102730cfbc0SXuan Hu } 103730cfbc0SXuan Hu } 10439c59369SXuan Hu } 10539c59369SXuan Hu } 10639c59369SXuan Hu 10783ba63b3SXuan Hu private val intRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.rfWen.getOrElse(false.B)).toSeq).toSeq 10883ba63b3SXuan Hu private val vfRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.getVfWen.getOrElse(false.B)).toSeq).toSeq 10939c59369SXuan Hu 11039c59369SXuan Hu intWbBusyArbiter.io.in.zip(intRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 11139c59369SXuan Hu arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 11239c59369SXuan Hu arbIn.valid := inRFWriteReq 11339c59369SXuan Hu } 11439c59369SXuan Hu } 11539c59369SXuan Hu 11639c59369SXuan Hu vfWbBusyArbiter.io.in.zip(vfRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 11739c59369SXuan Hu arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 11839c59369SXuan Hu arbIn.valid := inRFWriteReq 11939c59369SXuan Hu } 12039c59369SXuan Hu } 121730cfbc0SXuan Hu 122730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 123730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 124730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 125730cfbc0SXuan Hu 126730cfbc0SXuan Hu private val numIntRfReadByExu = intSchdParams.numIntRfReadByExu + memSchdParams.numIntRfReadByExu 127730cfbc0SXuan Hu private val numVfRfReadByExu = vfSchdParams.numVfRfReadByExu + memSchdParams.numVfRfReadByExu 128730cfbc0SXuan Hu // Todo: limit read port 129730cfbc0SXuan Hu private val numIntR = numIntRfReadByExu 130730cfbc0SXuan Hu private val numVfR = numVfRfReadByExu 131730cfbc0SXuan Hu println(s"[DataPath] RegFile read req needed by Exu: Int(${numIntRfReadByExu}), Vf(${numVfRfReadByExu})") 132730cfbc0SXuan Hu println(s"[DataPath] RegFile read port: Int(${numIntR}), Vf(${numVfR})") 133730cfbc0SXuan Hu 134730cfbc0SXuan Hu private val schdParams = params.allSchdParams 135730cfbc0SXuan Hu 13639c59369SXuan Hu private val intRfRaddr = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.pregIdxWidth.W))) 13739c59369SXuan Hu private val intRfRdata = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.rfDataWidth.W))) 138730cfbc0SXuan Hu private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool())) 139730cfbc0SXuan Hu private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W))) 140730cfbc0SXuan Hu private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W))) 141730cfbc0SXuan Hu 142730cfbc0SXuan Hu private val vfRfSplitNum = VLEN / XLEN 14339c59369SXuan Hu private val vfRfRaddr = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.pregIdxWidth.W))) 14439c59369SXuan Hu private val vfRfRdata = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.rfDataWidth.W))) 145730cfbc0SXuan Hu private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool()))) 146730cfbc0SXuan Hu private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W))) 147730cfbc0SXuan Hu private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W))) 148730cfbc0SXuan Hu 149730cfbc0SXuan Hu private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] = 150730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 151730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))) 152730cfbc0SXuan Hu } else { None } 153730cfbc0SXuan Hu private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] = 154730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 155a8db15d8Sfdy Some(Wire(Vec(32 + 32 + 1, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(32 + 32 + 1, UInt(VLEN.W)))) 156730cfbc0SXuan Hu } else { None } 157730cfbc0SXuan Hu 158730cfbc0SXuan Hu private val fpDebugReadData: Option[Vec[UInt]] = 159730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 160730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(XLEN.W)))) 161730cfbc0SXuan Hu } else { None } 162730cfbc0SXuan Hu private val vecDebugReadData: Option[Vec[UInt]] = 163730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 164730cfbc0SXuan Hu Some(Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0)) 165730cfbc0SXuan Hu } else { None } 166e2e5f6b0SXuan Hu private val vconfigDebugReadData: Option[UInt] = 167e2e5f6b0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 168e2e5f6b0SXuan Hu Some(Wire(UInt(64.W))) 169e2e5f6b0SXuan Hu } else { None } 170e2e5f6b0SXuan Hu 171730cfbc0SXuan Hu 172730cfbc0SXuan Hu fpDebugReadData.foreach(_ := vfDebugRead 173730cfbc0SXuan Hu .get._2 174730cfbc0SXuan Hu .slice(0, 32) 175730cfbc0SXuan Hu .map(_(63, 0)) 176730cfbc0SXuan Hu ) // fp only used [63, 0] 177730cfbc0SXuan Hu vecDebugReadData.foreach(_ := vfDebugRead 178730cfbc0SXuan Hu .get._2 179730cfbc0SXuan Hu .slice(32, 64) 180730cfbc0SXuan Hu .map(x => Seq(x(63, 0), x(127, 64))).flatten 181730cfbc0SXuan Hu ) 182e2e5f6b0SXuan Hu vconfigDebugReadData.foreach(_ := vfDebugRead 183e2e5f6b0SXuan Hu .get._2(64)(63, 0) 184e2e5f6b0SXuan Hu ) 185730cfbc0SXuan Hu 186b7d9e8d5Sxiaofeibao-xjtu io.debugVconfig.foreach(_ := vconfigDebugReadData.get) 187a8db15d8Sfdy 188730cfbc0SXuan Hu IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata, 189730cfbc0SXuan Hu debugReadAddr = intDebugRead.map(_._1), 190730cfbc0SXuan Hu debugReadData = intDebugRead.map(_._2)) 191730cfbc0SXuan Hu VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata, 192730cfbc0SXuan Hu debugReadAddr = vfDebugRead.map(_._1), 193730cfbc0SXuan Hu debugReadData = vfDebugRead.map(_._2)) 194730cfbc0SXuan Hu 19583ba63b3SXuan Hu intRfWaddr := io.fromIntWb.map(_.addr).toSeq 19683ba63b3SXuan Hu intRfWdata := io.fromIntWb.map(_.data).toSeq 19783ba63b3SXuan Hu intRfWen := io.fromIntWb.map(_.wen).toSeq 198730cfbc0SXuan Hu 19939c59369SXuan Hu for (portIdx <- intRfRaddr.indices) { 20039c59369SXuan Hu if (intRFReadArbiter.io.out.isDefinedAt(portIdx)) 20139c59369SXuan Hu intRfRaddr(portIdx) := intRFReadArbiter.io.out(portIdx).bits.addr 20239c59369SXuan Hu else 20339c59369SXuan Hu intRfRaddr(portIdx) := 0.U 20439c59369SXuan Hu } 205730cfbc0SXuan Hu 20683ba63b3SXuan Hu vfRfWaddr := io.fromVfWb.map(_.addr).toSeq 20783ba63b3SXuan Hu vfRfWdata := io.fromVfWb.map(_.data).toSeq 208730cfbc0SXuan Hu vfRfWen.foreach(_.zip(io.fromVfWb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } )// Todo: support fp multi-write 209730cfbc0SXuan Hu 21039c59369SXuan Hu for (portIdx <- vfRfRaddr.indices) { 21139c59369SXuan Hu if (vfRFReadArbiter.io.out.isDefinedAt(portIdx)) 21239c59369SXuan Hu vfRfRaddr(portIdx) := vfRFReadArbiter.io.out(portIdx).bits.addr 21339c59369SXuan Hu else 21439c59369SXuan Hu vfRfRaddr(portIdx) := 0.U 21539c59369SXuan Hu } 21639c59369SXuan Hu 217d91483a6Sfdy vfRfRaddr(VCONFIG_PORT) := io.vconfigReadPort.addr 218d91483a6Sfdy io.vconfigReadPort.data := vfRfRdata(VCONFIG_PORT) 219730cfbc0SXuan Hu 220730cfbc0SXuan Hu intDebugRead.foreach { case (addr, _) => 221b7d9e8d5Sxiaofeibao-xjtu addr := io.debugIntRat.get 222730cfbc0SXuan Hu } 223730cfbc0SXuan Hu 224730cfbc0SXuan Hu vfDebugRead.foreach { case (addr, _) => 225b7d9e8d5Sxiaofeibao-xjtu addr := io.debugFpRat.get ++ io.debugVecRat.get :+ io.debugVconfigRat.get 226730cfbc0SXuan Hu } 227730cfbc0SXuan Hu println(s"[DataPath] " + 228730cfbc0SXuan Hu s"has intDebugRead: ${intDebugRead.nonEmpty}, " + 229730cfbc0SXuan Hu s"has vfDebugRead: ${vfDebugRead.nonEmpty}") 230730cfbc0SXuan Hu 231730cfbc0SXuan Hu val s1_addrOHs = Reg(MixedVec( 23283ba63b3SXuan Hu fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType).toSeq)).toSeq 233730cfbc0SXuan Hu )) 234730cfbc0SXuan Hu val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec( 23583ba63b3SXuan Hu toExu.map(x => MixedVec(x.map(_.valid.cloneType).toSeq)).toSeq 236730cfbc0SXuan Hu )) 23783ba63b3SXuan Hu val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType).toSeq)).toSeq)) 238730cfbc0SXuan Hu val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType))))) // Todo 239730cfbc0SXuan Hu val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire))))) 240730cfbc0SXuan Hu 241730cfbc0SXuan Hu val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 242730cfbc0SXuan Hu val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 243730cfbc0SXuan Hu 244730cfbc0SXuan Hu val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs)) 245730cfbc0SXuan Hu 246730cfbc0SXuan Hu println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}") 247730cfbc0SXuan Hu s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 248730cfbc0SXuan Hu s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 249730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 250730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[IntRD]) else x).flatten 251730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 252730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 253730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[IntRD] } 254730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := intRfRdata(cfg.port) } 255730cfbc0SXuan Hu } 256730cfbc0SXuan Hu } 257730cfbc0SXuan Hu 258730cfbc0SXuan Hu println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}") 259730cfbc0SXuan Hu s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 260730cfbc0SXuan Hu s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 261730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 262730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[VfRD]) else x).flatten 263730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 264730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 265730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[VfRD] } 266730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.port) } 267730cfbc0SXuan Hu } 268730cfbc0SXuan Hu } 269730cfbc0SXuan Hu 270730cfbc0SXuan Hu for (i <- fromIQ.indices) { 271730cfbc0SXuan Hu for (j <- fromIQ(i).indices) { 272730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- begin 273730cfbc0SXuan Hu // refs 274730cfbc0SXuan Hu val s1_valid = s1_toExuValid(i)(j) 275730cfbc0SXuan Hu val s1_ready = s1_toExuReady(i)(j) 276730cfbc0SXuan Hu val s1_data = s1_toExuData(i)(j) 277730cfbc0SXuan Hu val s1_addrOH = s1_addrOHs(i)(j) 278730cfbc0SXuan Hu val s0 = fromIQ(i)(j) // s0 27939c59369SXuan Hu val notBlock = intRdNotBlock(i)(j) && intWbNotBlock(i)(j) && vfRdNotBlock(i)(j) && vfWbNotBlock(i)(j) 280730cfbc0SXuan Hu val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush))) 281c0be7f33SXuan Hu val s1_cancel = og1FailedVec2(i)(j) 2820f55a0d3SHaojin Tang val s1_ldCancel = LoadShouldCancel(s0.bits.common.loadDependency, io.ldCancel) 2830f55a0d3SHaojin Tang when (s0.fire && !s1_flush && notBlock && !s1_cancel && !s1_ldCancel) { 284730cfbc0SXuan Hu s1_valid := s0.valid 285730cfbc0SXuan Hu s1_data.fromIssueBundle(s0.bits) // no src data here 286730cfbc0SXuan Hu s1_addrOH := s0.bits.addrOH 287730cfbc0SXuan Hu }.otherwise { 288730cfbc0SXuan Hu s1_valid := false.B 289730cfbc0SXuan Hu } 29039c59369SXuan Hu s0.ready := (s1_ready || !s1_valid) && notBlock 291730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- end 292730cfbc0SXuan Hu 293730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- begin 294730cfbc0SXuan Hu // imm extract 29539c59369SXuan Hu when (s0.fire && !s1_flush && notBlock) { 296730cfbc0SXuan Hu if (s1_data.params.immType.nonEmpty && s1_data.src.size > 1) { 297730cfbc0SXuan Hu // rs1 is always int reg, rs2 may be imm 298730cfbc0SXuan Hu when(SrcType.isImm(s0.bits.srcType(1))) { 299730cfbc0SXuan Hu s1_data.src(1) := ImmExtractor( 300730cfbc0SXuan Hu s0.bits.common.imm, 301730cfbc0SXuan Hu s0.bits.immType, 302da778e6fSXuan Hu s1_data.params.dataBitsMax, 303730cfbc0SXuan Hu s1_data.params.immType.map(_.litValue) 304730cfbc0SXuan Hu ) 305730cfbc0SXuan Hu } 306730cfbc0SXuan Hu } 307730cfbc0SXuan Hu if (s1_data.params.hasJmpFu) { 308730cfbc0SXuan Hu when(SrcType.isPc(s0.bits.srcType(0))) { 309427cfec3SHaojin Tang s1_data.src(0) := SignExt(s0.bits.common.pc.get, XLEN) 310730cfbc0SXuan Hu } 311da778e6fSXuan Hu } else if (s1_data.params.hasVecFu) { 312da778e6fSXuan Hu // Fuck off riscv vector imm!!! Why not src1??? 313da778e6fSXuan Hu when(SrcType.isImm(s0.bits.srcType(0))) { 314da778e6fSXuan Hu s1_data.src(0) := ImmExtractor( 315da778e6fSXuan Hu s0.bits.common.imm, 316da778e6fSXuan Hu s0.bits.immType, 317da778e6fSXuan Hu s1_data.params.dataBitsMax, 318da778e6fSXuan Hu s1_data.params.immType.map(_.litValue) 319da778e6fSXuan Hu ) 320da778e6fSXuan Hu } 321f4dcd9fcSsinsanction } else if (s1_data.params.hasLoadFu) { 322f4dcd9fcSsinsanction // dirty code for fused_lui_load 323f4dcd9fcSsinsanction when(SrcType.isImm(s0.bits.srcType(0))) { 324fbb02de4Ssinsanction s1_data.src(0) := SignExt(ImmUnion.U.toImm32(s0.bits.common.imm(s0.bits.common.imm.getWidth - 1, ImmUnion.I.len)), XLEN) 325f4dcd9fcSsinsanction } 326730cfbc0SXuan Hu } 327730cfbc0SXuan Hu } 328730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- end 329730cfbc0SXuan Hu } 330730cfbc0SXuan Hu } 331730cfbc0SXuan Hu 332ea0f92d8Sczw private val fromIQFire = fromIQ.map(_.map(_.fire)) 333ea0f92d8Sczw private val toExuFire = toExu.map(_.map(_.fire)) 334730cfbc0SXuan Hu toIQs.zipWithIndex.foreach { 335730cfbc0SXuan Hu case(toIQ, iqIdx) => 336730cfbc0SXuan Hu toIQ.zipWithIndex.foreach { 337730cfbc0SXuan Hu case (toIU, iuIdx) => 338730cfbc0SXuan Hu // IU: issue unit 339730cfbc0SXuan Hu val og0resp = toIU.og0resp 340c0be7f33SXuan Hu og0FailedVec2(iqIdx)(iuIdx) := fromIQ(iqIdx)(iuIdx).valid && (!fromIQFire(iqIdx)(iuIdx)) 341c0be7f33SXuan Hu og0resp.valid := og0FailedVec2(iqIdx)(iuIdx) 342ea0f92d8Sczw og0resp.bits.respType := RSFeedbackType.rfArbitFail 343f3d58ea7SHaojin Tang og0resp.bits.dataInvalidSqIdx := DontCare 3445db4956bSzhanglyGit og0resp.bits.robIdx := fromIQ(iqIdx)(iuIdx).bits.common.robIdx 3458d29ec32Sczw og0resp.bits.rfWen := fromIQ(iqIdx)(iuIdx).bits.common.rfWen.getOrElse(false.B) 3468d29ec32Sczw og0resp.bits.fuType := fromIQ(iqIdx)(iuIdx).bits.common.fuType 347730cfbc0SXuan Hu 348730cfbc0SXuan Hu val og1resp = toIU.og1resp 349c0be7f33SXuan Hu og1FailedVec2(iqIdx)(iuIdx) := s1_toExuValid(iqIdx)(iuIdx) && !toExuFire(iqIdx)(iuIdx) 350730cfbc0SXuan Hu og1resp.valid := s1_toExuValid(iqIdx)(iuIdx) 351c0be7f33SXuan Hu og1resp.bits.respType := Mux(!og1FailedVec2(iqIdx)(iuIdx), 352d54d930bSfdy if (toIU.issueQueueParams.isMemAddrIQ) RSFeedbackType.fuUncertain else RSFeedbackType.fuIdle, 353d54d930bSfdy RSFeedbackType.fuBusy) 354f3d58ea7SHaojin Tang og1resp.bits.dataInvalidSqIdx := DontCare 3555db4956bSzhanglyGit og1resp.bits.robIdx := s1_toExuData(iqIdx)(iuIdx).robIdx 3568d29ec32Sczw og1resp.bits.rfWen := s1_toExuData(iqIdx)(iuIdx).rfWen.getOrElse(false.B) 3578d29ec32Sczw og1resp.bits.fuType := s1_toExuData(iqIdx)(iuIdx).fuType 358730cfbc0SXuan Hu } 359730cfbc0SXuan Hu } 3608a00ff56SXuan Hu 36110fe9778SXuan Hu io.og0CancelVec.zip(io.og1CancelVec).zipWithIndex.foreach { case ((og0Cancel, og1Cancel), i) => 36210fe9778SXuan Hu og0Cancel := fromFlattenIQ(i).valid && !fromFlattenIQ(i).fire 36310fe9778SXuan Hu og1Cancel := toFlattenExu(i).valid && !toFlattenExu(i).fire 364c0be7f33SXuan Hu } 365c0be7f33SXuan Hu 366bc7d6943SzhanglyGit io.cancelToBusyTable.zipWithIndex.foreach { case (cancel, i) => 367bc7d6943SzhanglyGit cancel.valid := fromFlattenIQ(i).valid && !fromFlattenIQ(i).fire && { 368bc7d6943SzhanglyGit if (fromFlattenIQ(i).bits.common.rfWen.isDefined) 369bc7d6943SzhanglyGit fromFlattenIQ(i).bits.common.rfWen.get && fromFlattenIQ(i).bits.common.pdest =/= 0.U 370bc7d6943SzhanglyGit else 371bc7d6943SzhanglyGit true.B 372bc7d6943SzhanglyGit } 373bc7d6943SzhanglyGit cancel.bits.rfWen := fromFlattenIQ(i).bits.common.rfWen.getOrElse(false.B) 37473b1b2e4SzhanglyGit cancel.bits.fpWen := fromFlattenIQ(i).bits.common.fpWen.getOrElse(false.B) 37573b1b2e4SzhanglyGit cancel.bits.vecWen := fromFlattenIQ(i).bits.common.vecWen.getOrElse(false.B) 376bc7d6943SzhanglyGit cancel.bits.pdest := fromFlattenIQ(i).bits.common.pdest 377bc7d6943SzhanglyGit } 378bc7d6943SzhanglyGit 379730cfbc0SXuan Hu for (i <- toExu.indices) { 380730cfbc0SXuan Hu for (j <- toExu(i).indices) { 381730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- begin 382730cfbc0SXuan Hu // refs 383730cfbc0SXuan Hu val sinkData = toExu(i)(j).bits 384730cfbc0SXuan Hu // assign 385730cfbc0SXuan Hu toExu(i)(j).valid := s1_toExuValid(i)(j) 386730cfbc0SXuan Hu s1_toExuReady(i)(j) := toExu(i)(j).ready 387730cfbc0SXuan Hu sinkData := s1_toExuData(i)(j) 388730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- end 389730cfbc0SXuan Hu 390730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- begin 391730cfbc0SXuan Hu // data source1: preg read data 392730cfbc0SXuan Hu for (k <- sinkData.src.indices) { 393730cfbc0SXuan Hu val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k) 394730cfbc0SXuan Hu 395730cfbc0SXuan Hu val readRfMap: Seq[(Bool, UInt)] = (Seq(None) :+ 396730cfbc0SXuan Hu (if (s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty) 397730cfbc0SXuan Hu Some(SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)) 398730cfbc0SXuan Hu else None) :+ 399730cfbc0SXuan Hu (if (s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VfRegSrcDataSet).nonEmpty) 400730cfbc0SXuan Hu Some(SrcType.isVfp(s1_srcType(i)(j)(k))-> s1_vfPregRData(i)(j)(k)) 401730cfbc0SXuan Hu else None) 402730cfbc0SXuan Hu ).filter(_.nonEmpty).map(_.get) 403730cfbc0SXuan Hu if (readRfMap.nonEmpty) 404730cfbc0SXuan Hu sinkData.src(k) := Mux1H(readRfMap) 405730cfbc0SXuan Hu } 406730cfbc0SXuan Hu 407730cfbc0SXuan Hu // data source2: extracted imm and pc saved in s1Reg 408730cfbc0SXuan Hu if (sinkData.params.immType.nonEmpty && sinkData.src.size > 1) { 409730cfbc0SXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(1))) { 410730cfbc0SXuan Hu sinkData.src(1) := s1_toExuData(i)(j).src(1) 411730cfbc0SXuan Hu } 412730cfbc0SXuan Hu } 413730cfbc0SXuan Hu if (sinkData.params.hasJmpFu) { 414730cfbc0SXuan Hu when(SrcType.isPc(s1_srcType(i)(j)(0))) { 415730cfbc0SXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 416730cfbc0SXuan Hu } 417da778e6fSXuan Hu } else if (sinkData.params.hasVecFu) { 418da778e6fSXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(0))) { 419da778e6fSXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 420da778e6fSXuan Hu } 421f4dcd9fcSsinsanction } else if (sinkData.params.hasLoadFu) { 422f4dcd9fcSsinsanction when(SrcType.isImm(s1_srcType(i)(j)(0))) { 423f4dcd9fcSsinsanction sinkData.src(0) := s1_toExuData(i)(j).src(0) 424f4dcd9fcSsinsanction } 425730cfbc0SXuan Hu } 426730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- end 427730cfbc0SXuan Hu } 428730cfbc0SXuan Hu } 429730cfbc0SXuan Hu 430730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 431730cfbc0SXuan Hu val delayedCnt = 2 43283ba63b3SXuan Hu val difftestArchIntRegState = DifftestModule(new DiffArchIntRegState, delay = delayedCnt) 43383ba63b3SXuan Hu difftestArchIntRegState.coreid := io.hartId 43483ba63b3SXuan Hu difftestArchIntRegState.value := intDebugRead.get._2 435730cfbc0SXuan Hu 43683ba63b3SXuan Hu val difftestArchFpRegState = DifftestModule(new DiffArchFpRegState, delay = delayedCnt) 43783ba63b3SXuan Hu difftestArchFpRegState.coreid := io.hartId 43883ba63b3SXuan Hu difftestArchFpRegState.value := fpDebugReadData.get 439730cfbc0SXuan Hu 44083ba63b3SXuan Hu val difftestArchVecRegState = DifftestModule(new DiffArchVecRegState, delay = delayedCnt) 44183ba63b3SXuan Hu difftestArchVecRegState.coreid := io.hartId 44283ba63b3SXuan Hu difftestArchVecRegState.value := vecDebugReadData.get 443730cfbc0SXuan Hu } 444730cfbc0SXuan Hu} 445730cfbc0SXuan Hu 446730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 447730cfbc0SXuan Hu // params 448730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 449730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 450730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 451c0be7f33SXuan Hu private val exuParams = params.allExuParams 452730cfbc0SXuan Hu // bundles 453730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 454730cfbc0SXuan Hu 455730cfbc0SXuan Hu val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect)) 456730cfbc0SXuan Hu 457e2e5f6b0SXuan Hu // Todo: check if this can be removed 458d91483a6Sfdy val vconfigReadPort = new RfReadPort(XLEN, PhyRegIdxWidth) 459d91483a6Sfdy 4602e0a7dc5Sfdy val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle()))))) 4612e0a7dc5Sfdy 462730cfbc0SXuan Hu val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 463730cfbc0SXuan Hu Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 464730cfbc0SXuan Hu 465730cfbc0SXuan Hu val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 466730cfbc0SXuan Hu Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 467730cfbc0SXuan Hu 468730cfbc0SXuan Hu val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 469730cfbc0SXuan Hu 470730cfbc0SXuan Hu val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle)) 471730cfbc0SXuan Hu 472730cfbc0SXuan Hu val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle)) 473730cfbc0SXuan Hu 474730cfbc0SXuan Hu val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle)) 475730cfbc0SXuan Hu 47610fe9778SXuan Hu val og0CancelVec = Output(ExuVec(backendParams.numExu)) 47710fe9778SXuan Hu 47810fe9778SXuan Hu val og1CancelVec = Output(ExuVec(backendParams.numExu)) 479c0be7f33SXuan Hu 4800f55a0d3SHaojin Tang val ldCancel = Vec(backendParams.LduCnt, Flipped(new LoadCancelIO)) 4810f55a0d3SHaojin Tang 482bc7d6943SzhanglyGit val cancelToBusyTable = Vec(backendParams.numExu, ValidIO(new CancelSignal)) 483bc7d6943SzhanglyGit 484730cfbc0SXuan Hu val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle 485730cfbc0SXuan Hu 486730cfbc0SXuan Hu val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle) 487730cfbc0SXuan Hu 488730cfbc0SXuan Hu val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle 489730cfbc0SXuan Hu 490730cfbc0SXuan Hu val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle) 491730cfbc0SXuan Hu 492730cfbc0SXuan Hu val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle) 493730cfbc0SXuan Hu 494b7d9e8d5Sxiaofeibao-xjtu val debugIntRat = if (params.debugEn) Some(Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W)))) else None 495b7d9e8d5Sxiaofeibao-xjtu val debugFpRat = if (params.debugEn) Some(Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W)))) else None 496b7d9e8d5Sxiaofeibao-xjtu val debugVecRat = if (params.debugEn) Some(Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W)))) else None 497b7d9e8d5Sxiaofeibao-xjtu val debugVconfigRat = if (params.debugEn) Some(Input(UInt(vfSchdParams.pregIdxWidth.W))) else None 498b7d9e8d5Sxiaofeibao-xjtu val debugVconfig = if (params.debugEn) Some(Output(UInt(XLEN.W))) else None 499730cfbc0SXuan Hu} 500