xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/DataPath.scala (revision 38f78b5dba91bbf073216eed3a080d3af4b9aeef)
1730cfbc0SXuan Hupackage xiangshan.backend.datapath
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
439c59369SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
683ba63b3SXuan Huimport difftest.{DiffArchFpRegState, DiffArchIntRegState, DiffArchVecRegState, DifftestModule}
7730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
8730cfbc0SXuan Huimport utility._
939c59369SXuan Huimport utils.SeqUtils._
10e4e52e7dSsinsanctionimport utils._
11730cfbc0SXuan Huimport xiangshan._
12730cfbc0SXuan Huimport xiangshan.backend.BackendParams
1339c59369SXuan Huimport xiangshan.backend.Bundles._
14f4dcd9fcSsinsanctionimport xiangshan.backend.decode.ImmUnion
15730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._
16730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._
17*38f78b5dSxiaofeibao-xjtuimport xiangshan.backend.issue.{FpScheduler, ImmExtractor, IntScheduler, MemScheduler, VfScheduler}
18f08a822fSzhanglyGitimport xiangshan.backend.issue.EntryBundles._
19730cfbc0SXuan Huimport xiangshan.backend.regfile._
205f80df32Sxiaofeibao-xjtuimport xiangshan.backend.PcToDataPathIO
21a58e75b4Sxiao feibaoimport xiangshan.backend.fu.FuType.is0latency
22*38f78b5dSxiaofeibao-xjtuimport xiangshan.mem.SqPtr
23730cfbc0SXuan Hu
24730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule {
251ca4a39dSXuan Hu  override def shouldBeInlined: Boolean = false
261ca4a39dSXuan Hu
27730cfbc0SXuan Hu  private implicit val dpParams: BackendParams = params
28730cfbc0SXuan Hu  lazy val module = new DataPathImp(this)
2939c59369SXuan Hu
3039c59369SXuan Hu  println(s"[DataPath] Preg Params: ")
3139c59369SXuan Hu  println(s"[DataPath]   Int R(${params.getRfReadSize(IntData())}), W(${params.getRfWriteSize(IntData())}) ")
3260f0c5aeSxiaofeibao  println(s"[DataPath]   Fp R(${params.getRfReadSize(FpData())}), W(${params.getRfWriteSize(FpData())}) ")
3339c59369SXuan Hu  println(s"[DataPath]   Vf R(${params.getRfReadSize(VecData())}), W(${params.getRfWriteSize(VecData())}) ")
34e4e52e7dSsinsanction  println(s"[DataPath]   V0 R(${params.getRfReadSize(V0Data())}), W(${params.getRfWriteSize(V0Data())}) ")
35e4e52e7dSsinsanction  println(s"[DataPath]   Vl R(${params.getRfReadSize(VlData())}), W(${params.getRfWriteSize(VlData())}) ")
36730cfbc0SXuan Hu}
37730cfbc0SXuan Hu
38730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams)
39730cfbc0SXuan Hu  extends LazyModuleImp(wrapper) with HasXSParameter {
40730cfbc0SXuan Hu
41730cfbc0SXuan Hu  val io = IO(new DataPathIO())
42730cfbc0SXuan Hu
43730cfbc0SXuan Hu  private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu)
4460f0c5aeSxiaofeibao  private val (fromFpIQ,  toFpIQ,  toFpExu)  = (io.fromFpIQ,  io.toFpIQ,  io.toFpExu)
45730cfbc0SXuan Hu  private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu)
4660f0c5aeSxiaofeibao  private val (fromVfIQ,  toVfIQ,  toVfExu ) = (io.fromVfIQ,  io.toVfIQ,  io.toVecExu)
47730cfbc0SXuan Hu
48e4e52e7dSsinsanction  println(s"[DataPath] IntIQ(${fromIntIQ.size}), FpIQ(${fromFpIQ.size}), VecIQ(${fromVfIQ.size}), MemIQ(${fromMemIQ.size})")
49e4e52e7dSsinsanction  println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), FpExu(${fromFpIQ.map(_.size).sum}), VecExu(${fromVfIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})")
50730cfbc0SXuan Hu
51730cfbc0SXuan Hu  // just refences for convience
5260f0c5aeSxiaofeibao  private val fromIQ: Seq[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = (fromIntIQ ++ fromFpIQ ++ fromVfIQ ++ fromMemIQ).toSeq
53730cfbc0SXuan Hu
5460f0c5aeSxiaofeibao  private val toIQs = toIntIQ ++ toFpIQ ++ toVfIQ ++ toMemIQ
55730cfbc0SXuan Hu
5660f0c5aeSxiaofeibao  private val toExu: Seq[MixedVec[DecoupledIO[ExuInput]]] = (toIntExu ++ toFpExu ++ toVfExu ++ toMemExu).toSeq
57730cfbc0SXuan Hu
5883ba63b3SXuan Hu  private val fromFlattenIQ: Seq[DecoupledIO[IssueQueueIssueBundle]] = fromIQ.flatten
5910fe9778SXuan Hu
6010fe9778SXuan Hu  private val toFlattenExu: Seq[DecoupledIO[ExuInput]] = toExu.flatten
6110fe9778SXuan Hu
6239c59369SXuan Hu  private val intWbBusyArbiter = Module(new IntRFWBCollideChecker(backendParams))
6360f0c5aeSxiaofeibao  private val fpWbBusyArbiter = Module(new FpRFWBCollideChecker(backendParams))
6439c59369SXuan Hu  private val vfWbBusyArbiter = Module(new VfRFWBCollideChecker(backendParams))
65e4e52e7dSsinsanction  private val v0WbBusyArbiter = Module(new V0RFWBCollideChecker(backendParams))
66e4e52e7dSsinsanction  private val vlWbBusyArbiter = Module(new VlRFWBCollideChecker(backendParams))
67e4e52e7dSsinsanction
6839c59369SXuan Hu  private val intRFReadArbiter = Module(new IntRFReadArbiter(backendParams))
6960f0c5aeSxiaofeibao  private val fpRFReadArbiter = Module(new FpRFReadArbiter(backendParams))
7039c59369SXuan Hu  private val vfRFReadArbiter = Module(new VfRFReadArbiter(backendParams))
71e4e52e7dSsinsanction  private val v0RFReadArbiter = Module(new V0RFReadArbiter(backendParams))
72e4e52e7dSsinsanction  private val vlRFReadArbiter = Module(new VlRFReadArbiter(backendParams))
73730cfbc0SXuan Hu
7483ba63b3SXuan Hu  private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq))
7583ba63b3SXuan Hu  private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq))
76c0be7f33SXuan Hu
7739c59369SXuan Hu  // port -> win
7883ba63b3SXuan Hu  private val intRdArbWinner: Seq2[MixedVec[Bool]] = intRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
7960f0c5aeSxiaofeibao  private val fpRdArbWinner: Seq2[MixedVec[Bool]] = fpRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
8083ba63b3SXuan Hu  private val vfRdArbWinner: Seq2[MixedVec[Bool]] = vfRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
81e4e52e7dSsinsanction  private val v0RdArbWinner: Seq2[MixedVec[Bool]] = v0RFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
82e4e52e7dSsinsanction  private val vlRdArbWinner: Seq2[MixedVec[Bool]] = vlRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq
83e4e52e7dSsinsanction
8483ba63b3SXuan Hu  private val intWbNotBlock: Seq[MixedVec[Bool]] = intWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
852d29d35fSxiaofeibao  private val fpWbNotBlock: Seq[MixedVec[Bool]] = fpWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
8683ba63b3SXuan Hu  private val vfWbNotBlock: Seq[MixedVec[Bool]] = vfWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
87e4e52e7dSsinsanction  private val v0WbNotBlock: Seq[MixedVec[Bool]] = v0WbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
88e4e52e7dSsinsanction  private val vlWbNotBlock: Seq[MixedVec[Bool]] = vlWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq
89730cfbc0SXuan Hu
9039c59369SXuan Hu  private val intRdNotBlock: Seq2[Bool] = intRdArbWinner.map(_.map(_.asUInt.andR))
9160f0c5aeSxiaofeibao  private val fpRdNotBlock: Seq2[Bool] = fpRdArbWinner.map(_.map(_.asUInt.andR))
9239c59369SXuan Hu  private val vfRdNotBlock: Seq2[Bool] = vfRdArbWinner.map(_.map(_.asUInt.andR))
93e4e52e7dSsinsanction  private val v0RdNotBlock: Seq2[Bool] = v0RdArbWinner.map(_.map(_.asUInt.andR))
94e4e52e7dSsinsanction  private val vlRdNotBlock: Seq2[Bool] = vlRdArbWinner.map(_.map(_.asUInt.andR))
95730cfbc0SXuan Hu
966017bdcbSsinsanction  private val intRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
976017bdcbSsinsanction  private val fpRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
986017bdcbSsinsanction  private val vfRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
99e4e52e7dSsinsanction  private val v0RFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
100e4e52e7dSsinsanction  private val vlRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq
101e4e52e7dSsinsanction
102ed40f96eSsinsanction  private val allDataSources: Seq[Seq[Vec[DataSource]]] = fromIQ.map(x => x.map(xx => xx.bits.common.dataSources).toSeq)
103ed40f96eSsinsanction  private val allNumRegSrcs: Seq[Seq[Int]] = fromIQ.map(x => x.map(xx => xx.bits.exuParams.numRegSrc).toSeq)
104b6b11f60SXuan Hu
10539c59369SXuan Hu  intRFReadArbiter.io.in.zip(intRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
10639c59369SXuan Hu    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
10739c59369SXuan Hu      val srcIndices: Seq[Int] = fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(IntData())
10839c59369SXuan Hu      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
10939c59369SXuan Hu        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
110ed40f96eSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
111c4fc226aSxiaofeibao-xjtu          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
11239c59369SXuan Hu        } else {
11339c59369SXuan Hu          arbInSeq(srcIdx).valid := false.B
11439c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := 0.U
1153fd20becSczw        }
11639c59369SXuan Hu      }
11739c59369SXuan Hu    }
11839c59369SXuan Hu  }
11960f0c5aeSxiaofeibao  fpRFReadArbiter.io.in.zip(fpRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
12060f0c5aeSxiaofeibao    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
12160f0c5aeSxiaofeibao      val srcIndices: Seq[Int] = FpRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
12260f0c5aeSxiaofeibao      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
12360f0c5aeSxiaofeibao        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
12460f0c5aeSxiaofeibao          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
12560f0c5aeSxiaofeibao          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
12660f0c5aeSxiaofeibao        } else {
12760f0c5aeSxiaofeibao          arbInSeq(srcIdx).valid := false.B
12860f0c5aeSxiaofeibao          arbInSeq(srcIdx).bits.addr := 0.U
12960f0c5aeSxiaofeibao        }
13060f0c5aeSxiaofeibao      }
13160f0c5aeSxiaofeibao    }
13260f0c5aeSxiaofeibao  }
1332e0a7dc5Sfdy
13439c59369SXuan Hu  vfRFReadArbiter.io.in.zip(vfRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
13539c59369SXuan Hu    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
136fbe46a0aSxiaofeibao      val srcIndices: Seq[Int] = VecRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
13739c59369SXuan Hu      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
13839c59369SXuan Hu        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
139ed40f96eSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
14039c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
14139c59369SXuan Hu        } else {
14239c59369SXuan Hu          arbInSeq(srcIdx).valid := false.B
14339c59369SXuan Hu          arbInSeq(srcIdx).bits.addr := 0.U
144730cfbc0SXuan Hu        }
145730cfbc0SXuan Hu      }
14639c59369SXuan Hu    }
14739c59369SXuan Hu  }
14839c59369SXuan Hu
149e4e52e7dSsinsanction  v0RFReadArbiter.io.in.zip(v0RFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
150e4e52e7dSsinsanction    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
151e4e52e7dSsinsanction      val srcIndices: Seq[Int] = V0RegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
152e4e52e7dSsinsanction      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
153e4e52e7dSsinsanction        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
154e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
155e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
156e4e52e7dSsinsanction        } else {
157e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := false.B
158e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := 0.U
159e4e52e7dSsinsanction        }
160e4e52e7dSsinsanction      }
161e4e52e7dSsinsanction    }
162e4e52e7dSsinsanction  }
163e4e52e7dSsinsanction
164e4e52e7dSsinsanction  vlRFReadArbiter.io.in.zip(vlRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) =>
165e4e52e7dSsinsanction    arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) =>
166e4e52e7dSsinsanction      val srcIndices: Seq[Int] = VlRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted
167e4e52e7dSsinsanction      for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) {
168e4e52e7dSsinsanction        if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) {
169e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg
170e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr
171e4e52e7dSsinsanction        } else {
172e4e52e7dSsinsanction          arbInSeq(srcIdx).valid := false.B
173e4e52e7dSsinsanction          arbInSeq(srcIdx).bits.addr := 0.U
174e4e52e7dSsinsanction        }
175e4e52e7dSsinsanction      }
176e4e52e7dSsinsanction    }
177e4e52e7dSsinsanction  }
178e4e52e7dSsinsanction
17983ba63b3SXuan Hu  private val intRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.rfWen.getOrElse(false.B)).toSeq).toSeq
1806017bdcbSsinsanction  private val fpRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.fpWen.getOrElse(false.B)).toSeq).toSeq
1816017bdcbSsinsanction  private val vfRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vecWen.getOrElse(false.B)).toSeq).toSeq
182e4e52e7dSsinsanction  private val v0RFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.v0Wen.getOrElse(false.B)).toSeq).toSeq
183e4e52e7dSsinsanction  private val vlRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vlWen.getOrElse(false.B)).toSeq).toSeq
18439c59369SXuan Hu
18539c59369SXuan Hu  intWbBusyArbiter.io.in.zip(intRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
18639c59369SXuan Hu    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
18739c59369SXuan Hu      arbIn.valid := inRFWriteReq
18839c59369SXuan Hu    }
18939c59369SXuan Hu  }
19039c59369SXuan Hu
19160f0c5aeSxiaofeibao  fpWbBusyArbiter.io.in.zip(fpRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
19260f0c5aeSxiaofeibao    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
19360f0c5aeSxiaofeibao      arbIn.valid := inRFWriteReq
19460f0c5aeSxiaofeibao    }
19560f0c5aeSxiaofeibao  }
19660f0c5aeSxiaofeibao
19739c59369SXuan Hu  vfWbBusyArbiter.io.in.zip(vfRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
19839c59369SXuan Hu    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
19939c59369SXuan Hu      arbIn.valid := inRFWriteReq
20039c59369SXuan Hu    }
20139c59369SXuan Hu  }
202730cfbc0SXuan Hu
203e4e52e7dSsinsanction  v0WbBusyArbiter.io.in.zip(v0RFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
204e4e52e7dSsinsanction    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
205e4e52e7dSsinsanction      arbIn.valid := inRFWriteReq
206e4e52e7dSsinsanction    }
207e4e52e7dSsinsanction  }
208e4e52e7dSsinsanction
209e4e52e7dSsinsanction  vlWbBusyArbiter.io.in.zip(vlRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) =>
210e4e52e7dSsinsanction    arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) =>
211e4e52e7dSsinsanction      arbIn.valid := inRFWriteReq
212e4e52e7dSsinsanction    }
213e4e52e7dSsinsanction  }
214e4e52e7dSsinsanction
215730cfbc0SXuan Hu  private val intSchdParams = params.schdParams(IntScheduler())
21660f0c5aeSxiaofeibao  private val fpSchdParams = params.schdParams(FpScheduler())
217730cfbc0SXuan Hu  private val vfSchdParams = params.schdParams(VfScheduler())
218730cfbc0SXuan Hu  private val memSchdParams = params.schdParams(MemScheduler())
219730cfbc0SXuan Hu
220730cfbc0SXuan Hu  private val schdParams = params.allSchdParams
221730cfbc0SXuan Hu
222ce95ff3aSsinsanction  private val pcReadValid = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathValid))
223ce95ff3aSsinsanction  private val pcReadFtqPtr = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqPtr))
224ce95ff3aSsinsanction  private val pcReadFtqOffset = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqOffset))
225ce95ff3aSsinsanction  private val targetPCRdata = io.fromPcTargetMem.toDataPathTargetPC
226ce95ff3aSsinsanction  private val pcRdata = io.fromPcTargetMem.toDataPathPC
22739c59369SXuan Hu  private val intRfRaddr = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.pregIdxWidth.W)))
22839c59369SXuan Hu  private val intRfRdata = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.rfDataWidth.W)))
229730cfbc0SXuan Hu  private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool()))
230730cfbc0SXuan Hu  private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W)))
231730cfbc0SXuan Hu  private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W)))
232730cfbc0SXuan Hu
23360f0c5aeSxiaofeibao  private val fpRfRaddr = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.pregIdxWidth.W)))
23460f0c5aeSxiaofeibao  private val fpRfRdata = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.rfDataWidth.W)))
23560f0c5aeSxiaofeibao  private val fpRfWen = Wire(Vec(io.fromFpWb.length, Bool()))
23660f0c5aeSxiaofeibao  private val fpRfWaddr = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.pregIdxWidth.W)))
23760f0c5aeSxiaofeibao  private val fpRfWdata = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.rfDataWidth.W)))
23860f0c5aeSxiaofeibao
239730cfbc0SXuan Hu  private val vfRfSplitNum = VLEN / XLEN
24039c59369SXuan Hu  private val vfRfRaddr = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.pregIdxWidth.W)))
24139c59369SXuan Hu  private val vfRfRdata = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.rfDataWidth.W)))
242730cfbc0SXuan Hu  private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool())))
243730cfbc0SXuan Hu  private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W)))
244730cfbc0SXuan Hu  private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W)))
245730cfbc0SXuan Hu
246e4e52e7dSsinsanction  private val v0RfSplitNum = VLEN / XLEN
247e4e52e7dSsinsanction  private val v0RfRaddr = Wire(Vec(params.numPregRd(V0Data()), UInt(log2Up(V0PhyRegs).W)))
248e4e52e7dSsinsanction  private val v0RfRdata = Wire(Vec(params.numPregRd(V0Data()), UInt(V0Data().dataWidth.W)))
249e4e52e7dSsinsanction  private val v0RfWen = Wire(Vec(v0RfSplitNum, Vec(io.fromV0Wb.length, Bool())))
250e4e52e7dSsinsanction  private val v0RfWaddr = Wire(Vec(io.fromV0Wb.length, UInt(log2Up(V0PhyRegs).W)))
251e4e52e7dSsinsanction  private val v0RfWdata = Wire(Vec(io.fromV0Wb.length, UInt(V0Data().dataWidth.W)))
252e4e52e7dSsinsanction
253e4e52e7dSsinsanction  private val vlRfRaddr = Wire(Vec(params.numPregRd(VlData()), UInt(log2Up(VlPhyRegs).W)))
254e4e52e7dSsinsanction  private val vlRfRdata = Wire(Vec(params.numPregRd(VlData()), UInt(VlData().dataWidth.W)))
255e4e52e7dSsinsanction  private val vlRfWen = Wire(Vec(io.fromVlWb.length, Bool()))
256e4e52e7dSsinsanction  private val vlRfWaddr = Wire(Vec(io.fromVlWb.length, UInt(log2Up(VlPhyRegs).W)))
257e4e52e7dSsinsanction  private val vlRfWdata = Wire(Vec(io.fromVlWb.length, UInt(VlData().dataWidth.W)))
258e4e52e7dSsinsanction
2595f80df32Sxiaofeibao-xjtu  val pcReadFtqPtrFormIQ = fromIntIQ.flatten.filter(x => x.bits.exuParams.needPc)
2605f80df32Sxiaofeibao-xjtu  assert(pcReadFtqPtrFormIQ.size == pcReadFtqPtr.size, s"pcReadFtqPtrFormIQ.size ${pcReadFtqPtrFormIQ.size} not equal pcReadFtqPtr.size ${pcReadFtqPtr.size}")
261ce95ff3aSsinsanction  pcReadValid.zip(pcReadFtqPtrFormIQ.map(_.valid)).map(x => x._1 := x._2)
2625f80df32Sxiaofeibao-xjtu  pcReadFtqPtr.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqIdx.get)).map(x => x._1 := x._2)
2635f80df32Sxiaofeibao-xjtu  pcReadFtqOffset.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqOffset.get)).map(x => x._1 := x._2)
264ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathValid := pcReadValid
265ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathFtqPtr := pcReadFtqPtr
266ce95ff3aSsinsanction  io.fromPcTargetMem.fromDataPathFtqOffset := pcReadFtqOffset
26781535d7bSsinsanction
268730cfbc0SXuan Hu  private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] =
269e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))))
27060f0c5aeSxiaofeibao  private val fpDebugRead: Option[(Vec[UInt], Vec[UInt])] =
271e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(32, UInt(fpSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))))
272730cfbc0SXuan Hu  private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] =
273e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(31, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(31, UInt(VLEN.W)))))
274e4e52e7dSsinsanction  private val v0DebugRead: Option[(Vec[UInt], Vec[UInt])] =
275e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(1, UInt(log2Up(V0PhyRegs).W))), Wire(Vec(1, UInt(V0Data().dataWidth.W)))))
276e4e52e7dSsinsanction  private val vlDebugRead: Option[(Vec[UInt], Vec[UInt])] =
277e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(1, UInt(log2Up(VlPhyRegs).W))), Wire(Vec(1, UInt(VlData().dataWidth.W)))))
278730cfbc0SXuan Hu
279730cfbc0SXuan Hu  private val fpDebugReadData: Option[Vec[UInt]] =
280e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(Vec(32, UInt(XLEN.W))))
281730cfbc0SXuan Hu  private val vecDebugReadData: Option[Vec[UInt]] =
282e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0))
283e4e52e7dSsinsanction  private val vlDebugReadData: Option[UInt] =
284e4e52e7dSsinsanction    OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(UInt(VlData().dataWidth.W)))
285e2e5f6b0SXuan Hu
286730cfbc0SXuan Hu
2874f3e7e73SZiyue Zhang  fpDebugReadData.foreach(_ := fpDebugRead
288730cfbc0SXuan Hu    .get._2
289730cfbc0SXuan Hu    .slice(0, 32)
290730cfbc0SXuan Hu    .map(_(63, 0))
291730cfbc0SXuan Hu  ) // fp only used [63, 0]
292e4e52e7dSsinsanction  vecDebugReadData.foreach(_ :=
293e4e52e7dSsinsanction    v0DebugRead
294730cfbc0SXuan Hu    .get._2
295e4e52e7dSsinsanction    .slice(0, 1)
296e4e52e7dSsinsanction    .map(x => Seq(x(63, 0), x(127, 64))).flatten ++
297e4e52e7dSsinsanction    vfDebugRead
298e4e52e7dSsinsanction    .get._2
299e4e52e7dSsinsanction    .slice(0, 31)
300730cfbc0SXuan Hu    .map(x => Seq(x(63, 0), x(127, 64))).flatten
301730cfbc0SXuan Hu  )
302e4e52e7dSsinsanction  vlDebugReadData.foreach(_ := vlDebugRead
303e4e52e7dSsinsanction    .get._2(0)
304e2e5f6b0SXuan Hu  )
305730cfbc0SXuan Hu
306e4e52e7dSsinsanction  io.debugVl.foreach(_ := vlDebugReadData.get)
307a8db15d8Sfdy
308730cfbc0SXuan Hu  IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata,
3093f1b0da5Sxiaofeibao    bankNum = 1,
310730cfbc0SXuan Hu    debugReadAddr = intDebugRead.map(_._1),
311e4e52e7dSsinsanction    debugReadData = intDebugRead.map(_._2)
312e4e52e7dSsinsanction  )
31360f0c5aeSxiaofeibao  FpRegFile("FpRegFile", fpSchdParams.numPregs, fpRfRaddr, fpRfRdata, fpRfWen, fpRfWaddr, fpRfWdata,
31460f0c5aeSxiaofeibao    bankNum = 1,
31560f0c5aeSxiaofeibao    debugReadAddr = fpDebugRead.map(_._1),
316e4e52e7dSsinsanction    debugReadData = fpDebugRead.map(_._2)
317e4e52e7dSsinsanction  )
318730cfbc0SXuan Hu  VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata,
319730cfbc0SXuan Hu    debugReadAddr = vfDebugRead.map(_._1),
320e4e52e7dSsinsanction    debugReadData = vfDebugRead.map(_._2)
321e4e52e7dSsinsanction  )
322e4e52e7dSsinsanction  VfRegFile("V0RegFile", V0PhyRegs, v0RfSplitNum, v0RfRaddr, v0RfRdata, v0RfWen, v0RfWaddr, v0RfWdata,
323e4e52e7dSsinsanction    debugReadAddr = v0DebugRead.map(_._1),
324e4e52e7dSsinsanction    debugReadData = v0DebugRead.map(_._2)
325e4e52e7dSsinsanction  )
326e4e52e7dSsinsanction  FpRegFile("VlRegFile", VlPhyRegs, vlRfRaddr, vlRfRdata, vlRfWen, vlRfWaddr, vlRfWdata,
327e4e52e7dSsinsanction    bankNum = 1,
328e4e52e7dSsinsanction    debugReadAddr = vlDebugRead.map(_._1),
329e4e52e7dSsinsanction    debugReadData = vlDebugRead.map(_._2)
330e4e52e7dSsinsanction  )
331730cfbc0SXuan Hu
3323f1b0da5Sxiaofeibao  intRfWaddr := io.fromIntWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3333f1b0da5Sxiaofeibao  intRfWdata := io.fromIntWb.map(x => RegEnable(x.data, x.wen)).toSeq
3343f1b0da5Sxiaofeibao  intRfWen := RegNext(VecInit(io.fromIntWb.map(_.wen).toSeq))
335730cfbc0SXuan Hu
33639c59369SXuan Hu  for (portIdx <- intRfRaddr.indices) {
33739c59369SXuan Hu    if (intRFReadArbiter.io.out.isDefinedAt(portIdx))
33839c59369SXuan Hu      intRfRaddr(portIdx) := intRFReadArbiter.io.out(portIdx).bits.addr
33939c59369SXuan Hu    else
34039c59369SXuan Hu      intRfRaddr(portIdx) := 0.U
34139c59369SXuan Hu  }
342730cfbc0SXuan Hu
3433f1b0da5Sxiaofeibao  fpRfWaddr := io.fromFpWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3443f1b0da5Sxiaofeibao  fpRfWdata := io.fromFpWb.map(x => RegEnable(x.data, x.wen)).toSeq
3453f1b0da5Sxiaofeibao  fpRfWen := RegNext(VecInit(io.fromFpWb.map(_.wen).toSeq))
34660f0c5aeSxiaofeibao
34760f0c5aeSxiaofeibao  for (portIdx <- fpRfRaddr.indices) {
34860f0c5aeSxiaofeibao    if (fpRFReadArbiter.io.out.isDefinedAt(portIdx))
34960f0c5aeSxiaofeibao      fpRfRaddr(portIdx) := fpRFReadArbiter.io.out(portIdx).bits.addr
35060f0c5aeSxiaofeibao    else
35160f0c5aeSxiaofeibao      fpRfRaddr(portIdx) := 0.U
35260f0c5aeSxiaofeibao  }
35360f0c5aeSxiaofeibao
3544fa640e4Ssinsanction  vfRfWaddr := io.fromVfWb.map(x => RegEnable(x.addr, x.wen)).toSeq
3554fa640e4Ssinsanction  vfRfWdata := io.fromVfWb.map(x => RegEnable(x.data, x.wen)).toSeq
356e4e52e7dSsinsanction  vfRfWen.foreach(_.zip(io.fromVfWb.map(x => RegNext(x.wen))).foreach { case (wenSink, wenSource) => wenSink := wenSource } )
357730cfbc0SXuan Hu
35839c59369SXuan Hu  for (portIdx <- vfRfRaddr.indices) {
35939c59369SXuan Hu    if (vfRFReadArbiter.io.out.isDefinedAt(portIdx))
36039c59369SXuan Hu      vfRfRaddr(portIdx) := vfRFReadArbiter.io.out(portIdx).bits.addr
36139c59369SXuan Hu    else
36239c59369SXuan Hu      vfRfRaddr(portIdx) := 0.U
36339c59369SXuan Hu  }
36439c59369SXuan Hu
365e4e52e7dSsinsanction  v0RfWaddr := io.fromV0Wb.map(_.addr).toSeq
366e4e52e7dSsinsanction  v0RfWdata := io.fromV0Wb.map(_.data).toSeq
367e4e52e7dSsinsanction  v0RfWen.foreach(_.zip(io.fromV0Wb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } )
368e4e52e7dSsinsanction
369e4e52e7dSsinsanction  for (portIdx <- v0RfRaddr.indices) {
370e4e52e7dSsinsanction    if (v0RFReadArbiter.io.out.isDefinedAt(portIdx))
371e4e52e7dSsinsanction      v0RfRaddr(portIdx) := v0RFReadArbiter.io.out(portIdx).bits.addr
372e4e52e7dSsinsanction    else
373e4e52e7dSsinsanction      v0RfRaddr(portIdx) := 0.U
374e4e52e7dSsinsanction  }
375e4e52e7dSsinsanction
376e4e52e7dSsinsanction  vlRfWaddr := io.fromVlWb.map(_.addr).toSeq
377e4e52e7dSsinsanction  vlRfWdata := io.fromVlWb.map(_.data).toSeq
378e4e52e7dSsinsanction  vlRfWen := io.fromVlWb.map(_.wen).toSeq
379e4e52e7dSsinsanction
380e4e52e7dSsinsanction  for (portIdx <- vlRfRaddr.indices) {
381e4e52e7dSsinsanction    if (vlRFReadArbiter.io.out.isDefinedAt(portIdx))
382e4e52e7dSsinsanction      vlRfRaddr(portIdx) := vlRFReadArbiter.io.out(portIdx).bits.addr
383e4e52e7dSsinsanction    else
384e4e52e7dSsinsanction      vlRfRaddr(portIdx) := 0.U
385e4e52e7dSsinsanction  }
386e4e52e7dSsinsanction
387730cfbc0SXuan Hu
388730cfbc0SXuan Hu  intDebugRead.foreach { case (addr, _) =>
389b7d9e8d5Sxiaofeibao-xjtu    addr := io.debugIntRat.get
390730cfbc0SXuan Hu  }
391730cfbc0SXuan Hu
3924f3e7e73SZiyue Zhang  fpDebugRead.foreach { case (addr, _) =>
3934f3e7e73SZiyue Zhang    addr := io.debugFpRat.get
3944f3e7e73SZiyue Zhang  }
3954f3e7e73SZiyue Zhang
396730cfbc0SXuan Hu  vfDebugRead.foreach { case (addr, _) =>
397e4e52e7dSsinsanction    addr := io.debugVecRat.get
398730cfbc0SXuan Hu  }
399e4e52e7dSsinsanction  v0DebugRead.foreach { case (addr, _) =>
400d1e473c9Sxiaofeibao    addr := io.debugV0Rat.get
401e4e52e7dSsinsanction  }
402e4e52e7dSsinsanction  vlDebugRead.foreach { case (addr, _) =>
403d1e473c9Sxiaofeibao    addr := io.debugVlRat.get
404e4e52e7dSsinsanction  }
405e4e52e7dSsinsanction
406730cfbc0SXuan Hu  println(s"[DataPath] " +
407730cfbc0SXuan Hu    s"has intDebugRead: ${intDebugRead.nonEmpty}, " +
408e4e52e7dSsinsanction    s"has fpDebugRead: ${fpDebugRead.nonEmpty}, " +
409e4e52e7dSsinsanction    s"has vecDebugRead: ${vfDebugRead.nonEmpty}, " +
410e4e52e7dSsinsanction    s"has v0DebugRead: ${v0DebugRead.nonEmpty}, " +
411e4e52e7dSsinsanction    s"has vlDebugRead: ${vlDebugRead.nonEmpty}")
412730cfbc0SXuan Hu
413730cfbc0SXuan Hu  val s1_addrOHs = Reg(MixedVec(
41483ba63b3SXuan Hu    fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType).toSeq)).toSeq
415730cfbc0SXuan Hu  ))
416730cfbc0SXuan Hu  val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec(
41783ba63b3SXuan Hu    toExu.map(x => MixedVec(x.map(_.valid.cloneType).toSeq)).toSeq
418730cfbc0SXuan Hu  ))
41983ba63b3SXuan Hu  val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType).toSeq)).toSeq))
42066f72636Sxiaofeibao-xjtu  val s1_immInfo = Reg(MixedVec(toExu.map(x => MixedVec(x.map(x => new ImmInfo).toSeq)).toSeq))
4213e7f92e5SsinceforYy  s1_immInfo.zip(fromIQ).map { case (s1Vec, s0Vec) =>
42266f72636Sxiaofeibao-xjtu    s1Vec.zip(s0Vec).map { case (s1, s0) =>
42341dbbdfdSsinceforYy      s1.imm := Mux(s0.valid, s0.bits.common.imm, s1.imm)
42441dbbdfdSsinceforYy      s1.immType := Mux(s0.valid, s0.bits.immType, s1.immType)
42566f72636Sxiaofeibao-xjtu    }
42666f72636Sxiaofeibao-xjtu  }
427712a039eSxiaofeibao-xjtu  io.og1ImmInfo.zip(s1_immInfo.flatten).map{ case(out, reg) =>
428712a039eSxiaofeibao-xjtu    out := reg
429712a039eSxiaofeibao-xjtu  }
4305f80df32Sxiaofeibao-xjtu  val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType).toSeq))))
4315f80df32Sxiaofeibao-xjtu  val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire)).toSeq)))
432730cfbc0SXuan Hu
4335f80df32Sxiaofeibao-xjtu  val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
43430f9248dSxiaofeibao  val s1_fpPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
4355f80df32Sxiaofeibao-xjtu  val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
436e4e52e7dSsinsanction  val s1_v0PregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
437e4e52e7dSsinsanction  val s1_vlPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq))))
438730cfbc0SXuan Hu
439730cfbc0SXuan Hu  val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs))
440730cfbc0SXuan Hu
441730cfbc0SXuan Hu  println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}")
442730cfbc0SXuan Hu  s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
443730cfbc0SXuan Hu  s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) =>
444730cfbc0SXuan Hu      iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) =>
445b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
446b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[IntRD]) > 0 }
447b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := intRfRdata(cfg.find(_.isInstanceOf[IntRD]).get.port) }
448730cfbc0SXuan Hu      }
449730cfbc0SXuan Hu  }
450730cfbc0SXuan Hu
45130f9248dSxiaofeibao  println(s"[DataPath] s1_fpPregRData.flatten.flatten.size: ${s1_fpPregRData.flatten.flatten.size}, fpRfRdata.size: ${fpRfRdata.size}")
45230f9248dSxiaofeibao  s1_fpPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
45330f9248dSxiaofeibao  s1_fpPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) =>
45430f9248dSxiaofeibao      iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) =>
455b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
456b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[FpRD]) > 0 }
457b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := fpRfRdata(cfg.find(_.isInstanceOf[FpRD]).get.port) }
45830f9248dSxiaofeibao      }
45930f9248dSxiaofeibao  }
46030f9248dSxiaofeibao
461730cfbc0SXuan Hu  println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}")
462730cfbc0SXuan Hu  s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
463730cfbc0SXuan Hu  s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
464730cfbc0SXuan Hu      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
465b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
466b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VfRD]) > 0 }
467b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.find(_.isInstanceOf[VfRD]).get.port) }
468730cfbc0SXuan Hu      }
469730cfbc0SXuan Hu  }
470730cfbc0SXuan Hu
471e4e52e7dSsinsanction  println(s"[DataPath] s1_v0PregRData.flatten.flatten.size: ${s1_v0PregRData.flatten.flatten.size}, v0RfRdata.size: ${v0RfRdata.size}")
472e4e52e7dSsinsanction  s1_v0PregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
473e4e52e7dSsinsanction  s1_v0PregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
474e4e52e7dSsinsanction      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
475b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
476b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[V0RD]) > 0 }
477b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := v0RfRdata(cfg.find(_.isInstanceOf[V0RD]).get.port) }
478e4e52e7dSsinsanction      }
479e4e52e7dSsinsanction  }
480e4e52e7dSsinsanction
481e4e52e7dSsinsanction  println(s"[DataPath] s1_vlPregRData.flatten.flatten.size: ${s1_vlPregRData.flatten.flatten.size}, vlRfRdata.size: ${vlRfRdata.size}")
482e4e52e7dSsinsanction  s1_vlPregRData.foreach(_.foreach(_.foreach(_ := 0.U)))
483e4e52e7dSsinsanction  s1_vlPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) =>
484e4e52e7dSsinsanction      iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) =>
485b3feafe2Ssinsanction        iuRdata.zip(iuCfg)
486b3feafe2Ssinsanction          .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VlRD]) > 0 }
487b3feafe2Ssinsanction          .foreach { case (sink, cfg) => sink := vlRfRdata(cfg.find(_.isInstanceOf[VlRD]).get.port) }
488e4e52e7dSsinsanction      }
489e4e52e7dSsinsanction  }
490e4e52e7dSsinsanction
491a58e75b4Sxiao feibao  val og0_cancel_no_load = VecInit(og0FailedVec2.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1).toSeq)
492a58e75b4Sxiao feibao  val exuParamsNoLoad = fromIQ.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu)
493a58e75b4Sxiao feibao  val is_0latency = Wire(Vec(og0_cancel_no_load.size, Bool()))
494a58e75b4Sxiao feibao  is_0latency := exuParamsNoLoad.map(x => is0latency(x._1.bits.common.fuType))
495a58e75b4Sxiao feibao  val og0_cancel_delay = RegNext(VecInit(og0_cancel_no_load.zip(is_0latency).map(x => x._1 && x._2)))
496a58e75b4Sxiao feibao  val isVfScheduler = VecInit(exuParamsNoLoad.map(x => x._2.schdType.isInstanceOf[VfScheduler].B))
497a58e75b4Sxiao feibao  val og0_cancel_delay_for_mem = VecInit(og0_cancel_delay.zip(isVfScheduler).map(x => x._1 && !x._2))
498730cfbc0SXuan Hu  for (i <- fromIQ.indices) {
499730cfbc0SXuan Hu    for (j <- fromIQ(i).indices) {
500730cfbc0SXuan Hu      // IQ(s0) --[Ctrl]--> s1Reg ---------- begin
501730cfbc0SXuan Hu      // refs
502730cfbc0SXuan Hu      val s1_valid = s1_toExuValid(i)(j)
503730cfbc0SXuan Hu      val s1_ready = s1_toExuReady(i)(j)
504730cfbc0SXuan Hu      val s1_data = s1_toExuData(i)(j)
505730cfbc0SXuan Hu      val s1_addrOH = s1_addrOHs(i)(j)
506730cfbc0SXuan Hu      val s0 = fromIQ(i)(j) // s0
507c4fc226aSxiaofeibao-xjtu
508c4fc226aSxiaofeibao-xjtu      val srcNotBlock = Wire(Bool())
509e4e52e7dSsinsanction      srcNotBlock := s0.bits.common.dataSources.zip(intRdArbWinner(i)(j) zip fpRdArbWinner(i)(j) zip vfRdArbWinner(i)(j) zip v0RdArbWinner(i)(j) zip vlRdArbWinner(i)(j)).map {
510e4e52e7dSsinsanction        case (source, ((((win_int, win_fp), win_vf), win_v0), win_vl)) =>
511e4e52e7dSsinsanction        !source.readReg || win_int && win_fp && win_vf && win_v0 && win_vl
512670870b3SXuan Hu      }.fold(true.B)(_ && _)
513e4e52e7dSsinsanction      val notBlock = srcNotBlock && intWbNotBlock(i)(j) && fpWbNotBlock(i)(j) && vfWbNotBlock(i)(j) && v0WbNotBlock(i)(j) && vlWbNotBlock(i)(j)
514730cfbc0SXuan Hu      val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush)))
515c0be7f33SXuan Hu      val s1_cancel = og1FailedVec2(i)(j)
516e5feb625Sxiaofeibao-xjtu      val s0_cancel = Wire(Bool())
517a58e75b4Sxiao feibao      val og0_cancel_delay_need = if (s0.bits.exuParams.schdType.isInstanceOf[MemScheduler]) og0_cancel_delay_for_mem else og0_cancel_delay
518e5feb625Sxiaofeibao-xjtu      if (s0.bits.exuParams.isIQWakeUpSink) {
519e5feb625Sxiaofeibao-xjtu        val exuOHNoLoad = s0.bits.common.l1ExuOH.get.map(x => x.asTypeOf(Vec(x.getWidth, Bool())).zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1))
520e5feb625Sxiaofeibao-xjtu        s0_cancel := exuOHNoLoad.zip(s0.bits.common.dataSources).map{
521a58e75b4Sxiao feibao          case (exuOH, dataSource) => (VecInit(exuOH).asUInt & og0_cancel_delay_need.asUInt).orR && dataSource.readForward
522e5feb625Sxiaofeibao-xjtu        }.reduce(_ || _) && s0.valid
523e5feb625Sxiaofeibao-xjtu      } else s0_cancel := false.B
524e5feb625Sxiaofeibao-xjtu      val s0_ldCancel = LoadShouldCancel(s0.bits.common.loadDependency, io.ldCancel)
525e5feb625Sxiaofeibao-xjtu      when (s0.fire && !s1_flush && notBlock && !s1_cancel && !s0_ldCancel && !s0_cancel) {
526730cfbc0SXuan Hu        s1_valid := s0.valid
527730cfbc0SXuan Hu      }.otherwise {
528730cfbc0SXuan Hu        s1_valid := false.B
529730cfbc0SXuan Hu      }
5301e2f0986Sxiaofeibao-xjtu      when (s0.valid) {
5311e2f0986Sxiaofeibao-xjtu        s1_data.fromIssueBundle(s0.bits) // no src data here
5321e2f0986Sxiaofeibao-xjtu        s1_addrOH := s0.bits.addrOH
5331e2f0986Sxiaofeibao-xjtu      }
534e5feb625Sxiaofeibao-xjtu      s0.ready := (s1_ready || !s1_valid) && notBlock && !s1_cancel && !s0_ldCancel && !s0_cancel
535730cfbc0SXuan Hu      // IQ(s0) --[Ctrl]--> s1Reg ---------- end
536730cfbc0SXuan Hu    }
537730cfbc0SXuan Hu  }
538730cfbc0SXuan Hu
539ea0f92d8Sczw  private val fromIQFire = fromIQ.map(_.map(_.fire))
540ea0f92d8Sczw  private val toExuFire = toExu.map(_.map(_.fire))
541730cfbc0SXuan Hu  toIQs.zipWithIndex.foreach {
542730cfbc0SXuan Hu    case(toIQ, iqIdx) =>
543730cfbc0SXuan Hu      toIQ.zipWithIndex.foreach {
544730cfbc0SXuan Hu        case (toIU, iuIdx) =>
545730cfbc0SXuan Hu          // IU: issue unit
546730cfbc0SXuan Hu          val og0resp = toIU.og0resp
547c0be7f33SXuan Hu          og0FailedVec2(iqIdx)(iuIdx) := fromIQ(iqIdx)(iuIdx).valid && (!fromIQFire(iqIdx)(iuIdx))
548c0be7f33SXuan Hu          og0resp.valid                 := og0FailedVec2(iqIdx)(iuIdx)
5495db4956bSzhanglyGit          og0resp.bits.robIdx           := fromIQ(iqIdx)(iuIdx).bits.common.robIdx
550aa2bcc31SzhanglyGit          og0resp.bits.uopIdx.foreach(_ := fromIQ(iqIdx)(iuIdx).bits.common.vpu.get.vuopIdx)
551*38f78b5dSxiaofeibao-xjtu          og0resp.bits.sqIdx.foreach(_ := 0.U.asTypeOf(new SqPtr))
552f08a822fSzhanglyGit          og0resp.bits.resp             := RespType.block
5538d29ec32Sczw          og0resp.bits.fuType           := fromIQ(iqIdx)(iuIdx).bits.common.fuType
554730cfbc0SXuan Hu
555730cfbc0SXuan Hu          val og1resp = toIU.og1resp
556c0be7f33SXuan Hu          og1FailedVec2(iqIdx)(iuIdx)   := s1_toExuValid(iqIdx)(iuIdx) && !toExuFire(iqIdx)(iuIdx)
557730cfbc0SXuan Hu          og1resp.valid                 := s1_toExuValid(iqIdx)(iuIdx)
558f08a822fSzhanglyGit          og1resp.bits.robIdx           := s1_toExuData(iqIdx)(iuIdx).robIdx
559145dfe39SXuan Hu          og1resp.bits.uopIdx.foreach(_ := s1_toExuData(iqIdx)(iuIdx).vpu.get.vuopIdx)
560*38f78b5dSxiaofeibao-xjtu          og1resp.bits.sqIdx.foreach(_ :=  0.U.asTypeOf(new SqPtr))
561cd7741b9SXuan Hu          // respType:  fuIdle      ->IQ entry clear
562cd7741b9SXuan Hu          //            fuUncertain ->IQ entry no action
563cd7741b9SXuan Hu          //            fuBusy      ->IQ entry issued set false, then re-issue
564bb891c83Ssinsanction          // hyu, lda and sta are fuUncertain at OG1 stage
565bb891c83Ssinsanction          // and all vector arith exu should check success in og2 stage
5665d71bc4aSXuan Hu          og1resp.bits.resp             := Mux(og1FailedVec2(iqIdx)(iuIdx),
5675d71bc4aSXuan Hu            RespType.block,
568bb891c83Ssinsanction            if (toIU.issueQueueParams match { case x => x.isLdAddrIQ || x.isStAddrIQ || x.isHyAddrIQ || x.isVecLduIQ || x.isVecStuIQ || x.inVfSchd})
5695d71bc4aSXuan Hu              RespType.uncertain
5705d71bc4aSXuan Hu            else
5715d71bc4aSXuan Hu              RespType.success,
572e8800897SXuan Hu          )
5738d29ec32Sczw          og1resp.bits.fuType           := s1_toExuData(iqIdx)(iuIdx).fuType
574730cfbc0SXuan Hu      }
575730cfbc0SXuan Hu  }
5768a00ff56SXuan Hu
5777a96cc7fSHaojin Tang  io.og0CancelOH := VecInit(fromFlattenIQ.map(x => x.valid && !x.fire)).asUInt
5787a96cc7fSHaojin Tang  io.og1CancelOH := VecInit(toFlattenExu.map(x => x.valid && !x.fire)).asUInt
579c0be7f33SXuan Hu
580bc7d6943SzhanglyGit  io.cancelToBusyTable.zipWithIndex.foreach { case (cancel, i) =>
581e5feb625Sxiaofeibao-xjtu    cancel.valid := fromFlattenIQ(i).valid && !fromFlattenIQ(i).fire
582bc7d6943SzhanglyGit    cancel.bits.rfWen := fromFlattenIQ(i).bits.common.rfWen.getOrElse(false.B)
58373b1b2e4SzhanglyGit    cancel.bits.fpWen := fromFlattenIQ(i).bits.common.fpWen.getOrElse(false.B)
58473b1b2e4SzhanglyGit    cancel.bits.vecWen := fromFlattenIQ(i).bits.common.vecWen.getOrElse(false.B)
585e4e52e7dSsinsanction    cancel.bits.v0Wen := fromFlattenIQ(i).bits.common.v0Wen.getOrElse(false.B)
586e4e52e7dSsinsanction    cancel.bits.vlWen := fromFlattenIQ(i).bits.common.vlWen.getOrElse(false.B)
587bc7d6943SzhanglyGit    cancel.bits.pdest := fromFlattenIQ(i).bits.common.pdest
588bc7d6943SzhanglyGit  }
589bc7d6943SzhanglyGit
590a58e75b4Sxiao feibao  if (backendParams.debugEn){
591a58e75b4Sxiao feibao    dontTouch(og0_cancel_no_load)
592a58e75b4Sxiao feibao    dontTouch(is_0latency)
593a58e75b4Sxiao feibao    dontTouch(og0_cancel_delay)
594a58e75b4Sxiao feibao    dontTouch(isVfScheduler)
595a58e75b4Sxiao feibao    dontTouch(og0_cancel_delay_for_mem)
596a58e75b4Sxiao feibao  }
597730cfbc0SXuan Hu  for (i <- toExu.indices) {
598730cfbc0SXuan Hu    for (j <- toExu(i).indices) {
599730cfbc0SXuan Hu      // s1Reg --[Ctrl]--> exu(s1) ---------- begin
600730cfbc0SXuan Hu      // refs
601730cfbc0SXuan Hu      val sinkData = toExu(i)(j).bits
602730cfbc0SXuan Hu      // assign
603730cfbc0SXuan Hu      toExu(i)(j).valid := s1_toExuValid(i)(j)
604730cfbc0SXuan Hu      s1_toExuReady(i)(j) := toExu(i)(j).ready
605730cfbc0SXuan Hu      sinkData := s1_toExuData(i)(j)
606730cfbc0SXuan Hu      // s1Reg --[Ctrl]--> exu(s1) ---------- end
607730cfbc0SXuan Hu
608730cfbc0SXuan Hu      // s1Reg --[Data]--> exu(s1) ---------- begin
609730cfbc0SXuan Hu      // data source1: preg read data
610730cfbc0SXuan Hu      for (k <- sinkData.src.indices) {
611730cfbc0SXuan Hu        val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k)
612e4e52e7dSsinsanction        val readRfMap: Seq[(Bool, UInt)] = (
613e4e52e7dSsinsanction          if (k == 3) {(
614e4e52e7dSsinsanction            Seq(None)
615e4e52e7dSsinsanction            :+
616e4e52e7dSsinsanction            OptionWrapper(s1_v0PregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(V0RegSrcDataSet).nonEmpty,
617e4e52e7dSsinsanction              (SrcType.isV0(s1_srcType(i)(j)(k)) -> s1_v0PregRData(i)(j)(k)))
618e4e52e7dSsinsanction          )}
619e4e52e7dSsinsanction          else if (k == 4) {(
620e4e52e7dSsinsanction            Seq(None)
621e4e52e7dSsinsanction            :+
622e4e52e7dSsinsanction            OptionWrapper(s1_vlPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VlRegSrcDataSet).nonEmpty,
623e4e52e7dSsinsanction              (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vlPregRData(i)(j)(k)))
624e4e52e7dSsinsanction          )}
625e4e52e7dSsinsanction          else {(
626e4e52e7dSsinsanction            Seq(None)
627e4e52e7dSsinsanction            :+
628e4e52e7dSsinsanction            OptionWrapper(s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty,
629e4e52e7dSsinsanction              (SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)))
630e4e52e7dSsinsanction            :+
631fbe46a0aSxiaofeibao            OptionWrapper(s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VecRegSrcDataSet).nonEmpty,
632e4e52e7dSsinsanction              (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vfPregRData(i)(j)(k)))
633e4e52e7dSsinsanction            :+
634e4e52e7dSsinsanction            OptionWrapper(s1_fpPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(FpRegSrcDataSet).nonEmpty,
635e4e52e7dSsinsanction              (SrcType.isFp(s1_srcType(i)(j)(k)) -> s1_fpPregRData(i)(j)(k)))
636e4e52e7dSsinsanction          )}
637730cfbc0SXuan Hu        ).filter(_.nonEmpty).map(_.get)
638e4e52e7dSsinsanction
639730cfbc0SXuan Hu        if (readRfMap.nonEmpty)
640730cfbc0SXuan Hu          sinkData.src(k) := Mux1H(readRfMap)
641730cfbc0SXuan Hu      }
642730cfbc0SXuan Hu      if (sinkData.params.hasJmpFu) {
6435f80df32Sxiaofeibao-xjtu        val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params)
6445f80df32Sxiaofeibao-xjtu        sinkData.pc.get := pcRdata(index)
645da778e6fSXuan Hu      }
646ce95ff3aSsinsanction      if (sinkData.params.needTarget) {
647ce95ff3aSsinsanction        val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params)
648ce95ff3aSsinsanction        sinkData.predictInfo.get.target := targetPCRdata(index)
649ce95ff3aSsinsanction      }
650730cfbc0SXuan Hu    }
651730cfbc0SXuan Hu  }
652730cfbc0SXuan Hu
653730cfbc0SXuan Hu  if (env.AlwaysBasicDiff || env.EnableDifftest) {
654730cfbc0SXuan Hu    val delayedCnt = 2
65583ba63b3SXuan Hu    val difftestArchIntRegState = DifftestModule(new DiffArchIntRegState, delay = delayedCnt)
65683ba63b3SXuan Hu    difftestArchIntRegState.coreid := io.hartId
65783ba63b3SXuan Hu    difftestArchIntRegState.value := intDebugRead.get._2
658730cfbc0SXuan Hu
65983ba63b3SXuan Hu    val difftestArchFpRegState = DifftestModule(new DiffArchFpRegState, delay = delayedCnt)
66083ba63b3SXuan Hu    difftestArchFpRegState.coreid := io.hartId
66183ba63b3SXuan Hu    difftestArchFpRegState.value := fpDebugReadData.get
662730cfbc0SXuan Hu
66383ba63b3SXuan Hu    val difftestArchVecRegState = DifftestModule(new DiffArchVecRegState, delay = delayedCnt)
66483ba63b3SXuan Hu    difftestArchVecRegState.coreid := io.hartId
66583ba63b3SXuan Hu    difftestArchVecRegState.value := vecDebugReadData.get
666730cfbc0SXuan Hu  }
667a81bbc0aSZhangZifei
668a81bbc0aSZhangZifei  val int_regcache_size = 48
669a81bbc0aSZhangZifei  val int_regcache_tag = RegInit(VecInit(Seq.fill(int_regcache_size)(0.U(intSchdParams.pregIdxWidth.W))))
670a81bbc0aSZhangZifei  val int_regcache_enqPtr = RegInit(0.U(log2Up(int_regcache_size).W))
671a81bbc0aSZhangZifei  int_regcache_enqPtr := int_regcache_enqPtr + PopCount(intRfWen)
672a81bbc0aSZhangZifei  for (i <- intRfWen.indices) {
673a81bbc0aSZhangZifei    when (intRfWen(i)) {
674a81bbc0aSZhangZifei      int_regcache_tag(int_regcache_enqPtr + PopCount(intRfWen.take(i))) := intRfWaddr(i)
675a81bbc0aSZhangZifei    }
676a81bbc0aSZhangZifei  }
677a81bbc0aSZhangZifei
678a81bbc0aSZhangZifei  val vf_regcache_size = 48
679a81bbc0aSZhangZifei  val vf_regcache_tag = RegInit(VecInit(Seq.fill(vf_regcache_size)(0.U(vfSchdParams.pregIdxWidth.W))))
680a81bbc0aSZhangZifei  val vf_regcache_enqPtr = RegInit(0.U(log2Up(vf_regcache_size).W))
681a81bbc0aSZhangZifei  vf_regcache_enqPtr := vf_regcache_enqPtr + PopCount(vfRfWen.head)
682a81bbc0aSZhangZifei  for (i <- vfRfWen.indices) {
683a81bbc0aSZhangZifei    when (vfRfWen.head(i)) {
684a81bbc0aSZhangZifei      vf_regcache_tag(vf_regcache_enqPtr + PopCount(vfRfWen.head.take(i))) := vfRfWaddr(i)
685a81bbc0aSZhangZifei    }
686a81bbc0aSZhangZifei  }
687a81bbc0aSZhangZifei
688a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRegFileRead_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
68960f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRegFileRead_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
690a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRegFileRead_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1)
691a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRegFileWrite_hist", PopCount(intRFWriteReq.flatten), true.B, 0, 20, 1)
69260f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRegFileWrite_hist", PopCount(fpRFWriteReq.flatten), true.B, 0, 20, 1)
693a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRegFileWrite_hist", PopCount(vfRFWriteReq.flatten), true.B, 0, 20, 1)
694a81bbc0aSZhangZifei
695a81bbc0aSZhangZifei  val int_regcache_part32 = (1 until 33).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
696a81bbc0aSZhangZifei  val int_regcache_part24 = (1 until 24).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
697a81bbc0aSZhangZifei  val int_regcache_part16 = (1 until 17).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
698a81bbc0aSZhangZifei  val int_regcache_part8 = (1 until 9).map(i => int_regcache_tag(int_regcache_enqPtr - i.U))
699a81bbc0aSZhangZifei
700a81bbc0aSZhangZifei  val int_regcache_48_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_tag.map(_ === x.bits.addr).reduce(_ || _))
701a81bbc0aSZhangZifei  val int_regcache_8_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part8.map(_ === x.bits.addr).reduce(_ || _))
702a81bbc0aSZhangZifei  val int_regcache_16_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part16.map(_ === x.bits.addr).reduce(_ || _))
703a81bbc0aSZhangZifei  val int_regcache_24_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part24.map(_ === x.bits.addr).reduce(_ || _))
704a81bbc0aSZhangZifei  val int_regcache_32_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part32.map(_ === x.bits.addr).reduce(_ || _))
705a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache48Hit", PopCount(int_regcache_48_hit_vec))
706a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache8Hit", PopCount(int_regcache_8_hit_vec))
707a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache16Hit", PopCount(int_regcache_16_hit_vec))
708a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache24Hit", PopCount(int_regcache_24_hit_vec))
709a81bbc0aSZhangZifei  XSPerfAccumulate("IntRegCache32Hit", PopCount(int_regcache_32_hit_vec))
710a81bbc0aSZhangZifei  XSPerfHistogram("IntRegCache48Hit_hist", PopCount(int_regcache_48_hit_vec), true.B, 0, 16, 2)
711a81bbc0aSZhangZifei
712a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntRFReadBeforeArb", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
713a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntRFReadAfterArb", PopCount(intRFReadArbiter.io.out.map(_.valid)))
71460f0c5aeSxiaofeibao  XSPerfAccumulate(s"FpRFReadBeforeArb", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
71560f0c5aeSxiaofeibao  XSPerfAccumulate(s"FpRFReadAfterArb", PopCount(fpRFReadArbiter.io.out.map(_.valid)))
716a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfRFReadBeforeArb", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)))
717a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfRFReadAfterArb", PopCount(vfRFReadArbiter.io.out.map(_.valid)))
718a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntUopBeforeArb", PopCount(fromIntIQ.flatten.map(_.valid)))
719a81bbc0aSZhangZifei  XSPerfAccumulate(s"IntUopAfterArb", PopCount(fromIntIQ.flatten.map(_.fire)))
720a81bbc0aSZhangZifei  XSPerfAccumulate(s"MemUopBeforeArb", PopCount(fromMemIQ.flatten.map(_.valid)))
721a81bbc0aSZhangZifei  XSPerfAccumulate(s"MemUopAfterArb", PopCount(fromMemIQ.flatten.map(_.fire)))
722a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfUopBeforeArb", PopCount(fromVfIQ.flatten.map(_.valid)))
723a81bbc0aSZhangZifei  XSPerfAccumulate(s"VfUopAfterArb", PopCount(fromVfIQ.flatten.map(_.fire)))
724a81bbc0aSZhangZifei
725a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRFReadBeforeArb_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
726a81bbc0aSZhangZifei  XSPerfHistogram(s"IntRFReadAfterArb_hist", PopCount(intRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
72760f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRFReadBeforeArb_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
72860f0c5aeSxiaofeibao  XSPerfHistogram(s"FpRFReadAfterArb_hist", PopCount(fpRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
729a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRFReadBeforeArb_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2)
730a81bbc0aSZhangZifei  XSPerfHistogram(s"VfRFReadAfterArb_hist", PopCount(vfRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2)
731a81bbc0aSZhangZifei  XSPerfHistogram(s"IntUopBeforeArb_hist", PopCount(fromIntIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
732a81bbc0aSZhangZifei  XSPerfHistogram(s"IntUopAfterArb_hist", PopCount(fromIntIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
733a81bbc0aSZhangZifei  XSPerfHistogram(s"MemUopBeforeArb_hist", PopCount(fromMemIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
734a81bbc0aSZhangZifei  XSPerfHistogram(s"MemUopAfterArb_hist", PopCount(fromMemIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
735a81bbc0aSZhangZifei  XSPerfHistogram(s"VfUopBeforeArb_hist", PopCount(fromVfIQ.flatten.map(_.valid)), true.B, 0, 8, 2)
736a81bbc0aSZhangZifei  XSPerfHistogram(s"VfUopAfterArb_hist", PopCount(fromVfIQ.flatten.map(_.fire)), true.B, 0, 8, 2)
737730cfbc0SXuan Hu}
738730cfbc0SXuan Hu
739730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
740730cfbc0SXuan Hu  // params
741730cfbc0SXuan Hu  private val intSchdParams = params.schdParams(IntScheduler())
74260f0c5aeSxiaofeibao  private val fpSchdParams = params.schdParams(FpScheduler())
743730cfbc0SXuan Hu  private val vfSchdParams = params.schdParams(VfScheduler())
744730cfbc0SXuan Hu  private val memSchdParams = params.schdParams(MemScheduler())
745730cfbc0SXuan Hu  // bundles
746730cfbc0SXuan Hu  val hartId = Input(UInt(8.W))
747730cfbc0SXuan Hu
748730cfbc0SXuan Hu  val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect))
749730cfbc0SXuan Hu
7502e0a7dc5Sfdy  val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle())))))
7512e0a7dc5Sfdy
752730cfbc0SXuan Hu  val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
753730cfbc0SXuan Hu    Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
754730cfbc0SXuan Hu
75560f0c5aeSxiaofeibao  val fromFpIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
75660f0c5aeSxiaofeibao    Flipped(MixedVec(fpSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
75760f0c5aeSxiaofeibao
758730cfbc0SXuan Hu  val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] =
759730cfbc0SXuan Hu    Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
760730cfbc0SXuan Hu
761730cfbc0SXuan Hu  val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle)))
762730cfbc0SXuan Hu
763730cfbc0SXuan Hu  val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle))
764730cfbc0SXuan Hu
76560f0c5aeSxiaofeibao  val toFpIQ = MixedVec(fpSchdParams.issueBlockParams.map(_.genOGRespBundle))
76660f0c5aeSxiaofeibao
767730cfbc0SXuan Hu  val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle))
768730cfbc0SXuan Hu
769730cfbc0SXuan Hu  val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle))
770730cfbc0SXuan Hu
7717a96cc7fSHaojin Tang  val og0CancelOH = Output(ExuOH(backendParams.numExu))
77210fe9778SXuan Hu
7737a96cc7fSHaojin Tang  val og1CancelOH = Output(ExuOH(backendParams.numExu))
774c0be7f33SXuan Hu
7756810d1e8Ssfencevma  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
7760f55a0d3SHaojin Tang
777bc7d6943SzhanglyGit  val cancelToBusyTable = Vec(backendParams.numExu, ValidIO(new CancelSignal))
778bc7d6943SzhanglyGit
779730cfbc0SXuan Hu  val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle
780730cfbc0SXuan Hu
78160f0c5aeSxiaofeibao  val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(fpSchdParams.genExuInputBundle)
78260f0c5aeSxiaofeibao
78360f0c5aeSxiaofeibao  val toVecExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle)
784730cfbc0SXuan Hu
785730cfbc0SXuan Hu  val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle
786730cfbc0SXuan Hu
787712a039eSxiaofeibao-xjtu  val og1ImmInfo: Vec[ImmInfo] = Output(Vec(params.allExuParams.size, new ImmInfo))
788712a039eSxiaofeibao-xjtu
789730cfbc0SXuan Hu  val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle)
790730cfbc0SXuan Hu
79160f0c5aeSxiaofeibao  val fromFpWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genFpWriteBackBundle)
79260f0c5aeSxiaofeibao
793730cfbc0SXuan Hu  val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle)
794730cfbc0SXuan Hu
795e4e52e7dSsinsanction  val fromV0Wb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genV0WriteBackBundle)
796e4e52e7dSsinsanction
797e4e52e7dSsinsanction  val fromVlWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVlWriteBackBundle)
798e4e52e7dSsinsanction
799ce95ff3aSsinsanction  val fromPcTargetMem = Flipped(new PcToDataPathIO(params))
8005f80df32Sxiaofeibao-xjtu
801b7d9e8d5Sxiaofeibao-xjtu  val debugIntRat  = if (params.debugEn) Some(Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W)))) else None
80260f0c5aeSxiaofeibao  val debugFpRat   = if (params.debugEn) Some(Input(Vec(32, UInt(fpSchdParams.pregIdxWidth.W)))) else None
803e4e52e7dSsinsanction  val debugVecRat  = if (params.debugEn) Some(Input(Vec(31, UInt(vfSchdParams.pregIdxWidth.W)))) else None
804d1e473c9Sxiaofeibao  val debugV0Rat   = if (params.debugEn) Some(Input(Vec(1, UInt(log2Up(V0PhyRegs).W)))) else None
805d1e473c9Sxiaofeibao  val debugVlRat   = if (params.debugEn) Some(Input(Vec(1, UInt(log2Up(VlPhyRegs).W)))) else None
806e4e52e7dSsinsanction  val debugVl      = if (params.debugEn) Some(Output(UInt(VlData().dataWidth.W))) else None
807730cfbc0SXuan Hu}
808