1*730cfbc0SXuan Hupackage xiangshan.backend.datapath 2*730cfbc0SXuan Hu 3*730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 4*730cfbc0SXuan Huimport chisel3._ 5*730cfbc0SXuan Huimport chisel3.util._ 6*730cfbc0SXuan Huimport difftest.{DifftestArchFpRegState, DifftestArchIntRegState, DifftestArchVecRegState} 7*730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8*730cfbc0SXuan Huimport utility._ 9*730cfbc0SXuan Huimport xiangshan._ 10*730cfbc0SXuan Huimport xiangshan.backend.BackendParams 11*730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 12*730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._ 13*730cfbc0SXuan Huimport xiangshan.backend.issue.{ImmExtractor, IntScheduler, MemScheduler, VfScheduler} 14*730cfbc0SXuan Huimport xiangshan.backend.Bundles._ 15*730cfbc0SXuan Huimport xiangshan.backend.regfile._ 16*730cfbc0SXuan Hu 17*730cfbc0SXuan Huclass RFArbiterBundle(addrWidth: Int)(implicit p: Parameters) extends XSBundle { 18*730cfbc0SXuan Hu val addr = UInt(addrWidth.W) 19*730cfbc0SXuan Hu} 20*730cfbc0SXuan Hu 21*730cfbc0SXuan Huclass RFReadArbiterIO(inPortSize: Int, outPortSize: Int, pregWidth: Int)(implicit p: Parameters) extends XSBundle { 22*730cfbc0SXuan Hu val in = Vec(inPortSize, Flipped(DecoupledIO(new RFArbiterBundle(pregWidth)))) 23*730cfbc0SXuan Hu val out = Vec(outPortSize, Valid(new RFArbiterBundle(pregWidth))) 24*730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 25*730cfbc0SXuan Hu} 26*730cfbc0SXuan Hu 27*730cfbc0SXuan Huclass RFReadArbiter(isInt: Boolean)(implicit p: Parameters) extends XSModule { 28*730cfbc0SXuan Hu val allExuParams = backendParams.allExuParams 29*730cfbc0SXuan Hu 30*730cfbc0SXuan Hu val portConfigs = allExuParams.map(_.rfrPortConfigs.flatten).flatten.filter{ 31*730cfbc0SXuan Hu rfrPortConfigs => 32*730cfbc0SXuan Hu if(isInt){ 33*730cfbc0SXuan Hu rfrPortConfigs.isInstanceOf[IntRD] 34*730cfbc0SXuan Hu } 35*730cfbc0SXuan Hu else{ 36*730cfbc0SXuan Hu rfrPortConfigs.isInstanceOf[VfRD] 37*730cfbc0SXuan Hu } 38*730cfbc0SXuan Hu } 39*730cfbc0SXuan Hu 40*730cfbc0SXuan Hu val pregParams = if(isInt) backendParams.intPregParams else backendParams.vfPregParams 41*730cfbc0SXuan Hu 42*730cfbc0SXuan Hu val io = IO(new RFReadArbiterIO(portConfigs.size, backendParams.numRfRead, pregParams.addrWidth)) 43*730cfbc0SXuan Hu // inGroup[port -> Bundle] 44*730cfbc0SXuan Hu val inGroup: Map[Int, IndexedSeq[(DecoupledIO[RFArbiterBundle], RdConfig)]] = io.in.zip(portConfigs).groupBy{ case(port, config) => config.port} 45*730cfbc0SXuan Hu // sort by priority 46*730cfbc0SXuan Hu val inGroupSorted: Map[Int, IndexedSeq[(DecoupledIO[RFArbiterBundle], RdConfig)]] = inGroup.map{ 47*730cfbc0SXuan Hu case(key, value) => (key -> value.sortBy{ case(port, config) => config.priority}) 48*730cfbc0SXuan Hu } 49*730cfbc0SXuan Hu 50*730cfbc0SXuan Hu private val arbiters: Seq[Option[Arbiter[RFArbiterBundle]]] = Seq.tabulate(backendParams.numRfRead) { x => { 51*730cfbc0SXuan Hu if (inGroupSorted.contains(x)) { 52*730cfbc0SXuan Hu Some(Module(new Arbiter(new RFArbiterBundle(pregParams.addrWidth), inGroupSorted(x).length))) 53*730cfbc0SXuan Hu } else { 54*730cfbc0SXuan Hu None 55*730cfbc0SXuan Hu } 56*730cfbc0SXuan Hu }} 57*730cfbc0SXuan Hu 58*730cfbc0SXuan Hu arbiters.zipWithIndex.foreach { case (arb, i) => 59*730cfbc0SXuan Hu if (arb.nonEmpty) { 60*730cfbc0SXuan Hu arb.get.io.in.zip(inGroupSorted(i).map(_._1)).foreach { case (arbIn, addrIn) => 61*730cfbc0SXuan Hu arbIn <> addrIn 62*730cfbc0SXuan Hu } 63*730cfbc0SXuan Hu } 64*730cfbc0SXuan Hu } 65*730cfbc0SXuan Hu 66*730cfbc0SXuan Hu io.out.zip(arbiters).foreach { case (addrOut, arb) => 67*730cfbc0SXuan Hu if (arb.nonEmpty) { 68*730cfbc0SXuan Hu val arbOut = arb.get.io.out 69*730cfbc0SXuan Hu arbOut.ready := true.B 70*730cfbc0SXuan Hu addrOut.valid := arbOut.valid 71*730cfbc0SXuan Hu addrOut.bits := arbOut.bits 72*730cfbc0SXuan Hu } else { 73*730cfbc0SXuan Hu addrOut := 0.U.asTypeOf(addrOut) 74*730cfbc0SXuan Hu } 75*730cfbc0SXuan Hu } 76*730cfbc0SXuan Hu} 77*730cfbc0SXuan Hu 78*730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule { 79*730cfbc0SXuan Hu private implicit val dpParams: BackendParams = params 80*730cfbc0SXuan Hu lazy val module = new DataPathImp(this) 81*730cfbc0SXuan Hu} 82*730cfbc0SXuan Hu 83*730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams) 84*730cfbc0SXuan Hu extends LazyModuleImp(wrapper) with HasXSParameter { 85*730cfbc0SXuan Hu 86*730cfbc0SXuan Hu val io = IO(new DataPathIO()) 87*730cfbc0SXuan Hu 88*730cfbc0SXuan Hu private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu) 89*730cfbc0SXuan Hu private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu) 90*730cfbc0SXuan Hu private val (fromVfIQ , toVfIQ , toVfExu ) = (io.fromVfIQ , io.toVfIQ , io.toFpExu) 91*730cfbc0SXuan Hu 92*730cfbc0SXuan Hu println(s"[DataPath] IntIQ(${fromIntIQ.size}), MemIQ(${fromMemIQ.size})") 93*730cfbc0SXuan Hu println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})") 94*730cfbc0SXuan Hu 95*730cfbc0SXuan Hu // just refences for convience 96*730cfbc0SXuan Hu private val fromIQ = fromIntIQ ++ fromVfIQ ++ fromMemIQ 97*730cfbc0SXuan Hu 98*730cfbc0SXuan Hu private val toIQs = toIntIQ ++ toVfIQ ++ toMemIQ 99*730cfbc0SXuan Hu 100*730cfbc0SXuan Hu private val toExu = toIntExu ++ toVfExu ++ toMemExu 101*730cfbc0SXuan Hu 102*730cfbc0SXuan Hu private val intRFReadArbiter = Module(new RFReadArbiter(true)) 103*730cfbc0SXuan Hu private val vfRFReadArbiter = Module(new RFReadArbiter(false)) 104*730cfbc0SXuan Hu 105*730cfbc0SXuan Hu private val issuePortsIn = fromIQ.flatten 106*730cfbc0SXuan Hu private val intBlocks = fromIQ.map{ case iq => Wire(Vec(iq.size, Bool())) } 107*730cfbc0SXuan Hu private val intBlocksSeq = intBlocks.flatten 108*730cfbc0SXuan Hu private val vfBlocks = fromIQ.map { case iq => Wire(Vec(iq.size, Bool())) } 109*730cfbc0SXuan Hu private val vfBlocksSeq = vfBlocks.flatten 110*730cfbc0SXuan Hu 111*730cfbc0SXuan Hu val intReadPortInSize = issuePortsIn.map(issuePortIn => issuePortIn.bits.getIntRfReadBundle.size).scan(0)(_ + _) 112*730cfbc0SXuan Hu issuePortsIn.zipWithIndex.foreach{ 113*730cfbc0SXuan Hu case (issuePortIn, idx) => 114*730cfbc0SXuan Hu val readPortIn = issuePortIn.bits.getIntRfReadBundle 115*730cfbc0SXuan Hu val l = intReadPortInSize(idx) 116*730cfbc0SXuan Hu val r = intReadPortInSize(idx + 1) 117*730cfbc0SXuan Hu val arbiterIn = intRFReadArbiter.io.in.slice(l, r) 118*730cfbc0SXuan Hu arbiterIn.zip(readPortIn).foreach{ 119*730cfbc0SXuan Hu case(sink, source) => 120*730cfbc0SXuan Hu sink.bits.addr := source.addr 121*730cfbc0SXuan Hu sink.valid := issuePortIn.valid && SrcType.isXp(source.srcType) 122*730cfbc0SXuan Hu } 123*730cfbc0SXuan Hu if(r > l){ 124*730cfbc0SXuan Hu intBlocksSeq(idx) := !arbiterIn.zip(readPortIn).map { 125*730cfbc0SXuan Hu case (sink, source) => Mux(SrcType.isXp(source.srcType), sink.ready, true.B) 126*730cfbc0SXuan Hu }.reduce(_ & _) 127*730cfbc0SXuan Hu } 128*730cfbc0SXuan Hu else{ 129*730cfbc0SXuan Hu intBlocksSeq(idx) := false.B 130*730cfbc0SXuan Hu } 131*730cfbc0SXuan Hu } 132*730cfbc0SXuan Hu intRFReadArbiter.io.flush := io.flush 133*730cfbc0SXuan Hu 134*730cfbc0SXuan Hu val vfReadPortInSize = issuePortsIn.map(issuePortIn => issuePortIn.bits.getFpRfReadBundle.size).scan(0)(_ + _) 135*730cfbc0SXuan Hu issuePortsIn.zipWithIndex.foreach { 136*730cfbc0SXuan Hu case (issuePortIn, idx) => 137*730cfbc0SXuan Hu val readPortIn = issuePortIn.bits.getFpRfReadBundle 138*730cfbc0SXuan Hu val l = vfReadPortInSize(idx) 139*730cfbc0SXuan Hu val r = vfReadPortInSize(idx + 1) 140*730cfbc0SXuan Hu val arbiterIn = vfRFReadArbiter.io.in.slice(l, r) 141*730cfbc0SXuan Hu arbiterIn.zip(readPortIn).foreach { 142*730cfbc0SXuan Hu case (sink, source) => 143*730cfbc0SXuan Hu sink.bits.addr := source.addr 144*730cfbc0SXuan Hu sink.valid := issuePortIn.valid && SrcType.isVfp(source.srcType) 145*730cfbc0SXuan Hu } 146*730cfbc0SXuan Hu if (r > l) { 147*730cfbc0SXuan Hu vfBlocksSeq(idx) := !arbiterIn.zip(readPortIn).map { 148*730cfbc0SXuan Hu case (sink, source) => Mux(SrcType.isVfp(source.srcType), sink.ready, true.B) 149*730cfbc0SXuan Hu }.reduce(_ & _) 150*730cfbc0SXuan Hu } 151*730cfbc0SXuan Hu else { 152*730cfbc0SXuan Hu vfBlocksSeq(idx) := false.B 153*730cfbc0SXuan Hu } 154*730cfbc0SXuan Hu } 155*730cfbc0SXuan Hu vfRFReadArbiter.io.flush := io.flush 156*730cfbc0SXuan Hu 157*730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 158*730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 159*730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 160*730cfbc0SXuan Hu 161*730cfbc0SXuan Hu private val numIntRfReadByExu = intSchdParams.numIntRfReadByExu + memSchdParams.numIntRfReadByExu 162*730cfbc0SXuan Hu private val numVfRfReadByExu = vfSchdParams.numVfRfReadByExu + memSchdParams.numVfRfReadByExu 163*730cfbc0SXuan Hu // Todo: limit read port 164*730cfbc0SXuan Hu private val numIntR = numIntRfReadByExu 165*730cfbc0SXuan Hu private val numVfR = numVfRfReadByExu 166*730cfbc0SXuan Hu println(s"[DataPath] RegFile read req needed by Exu: Int(${numIntRfReadByExu}), Vf(${numVfRfReadByExu})") 167*730cfbc0SXuan Hu println(s"[DataPath] RegFile read port: Int(${numIntR}), Vf(${numVfR})") 168*730cfbc0SXuan Hu 169*730cfbc0SXuan Hu private val schdParams = params.allSchdParams 170*730cfbc0SXuan Hu 171*730cfbc0SXuan Hu private val intRfRaddr = Wire(Vec(params.numRfRead, UInt(intSchdParams.pregIdxWidth.W))) 172*730cfbc0SXuan Hu private val intRfRdata = Wire(Vec(params.numRfRead, UInt(intSchdParams.rfDataWidth.W))) 173*730cfbc0SXuan Hu private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool())) 174*730cfbc0SXuan Hu private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W))) 175*730cfbc0SXuan Hu private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W))) 176*730cfbc0SXuan Hu 177*730cfbc0SXuan Hu private val vfRfSplitNum = VLEN / XLEN 178*730cfbc0SXuan Hu private val vfRfRaddr = Wire(Vec(params.numRfRead, UInt(vfSchdParams.pregIdxWidth.W))) 179*730cfbc0SXuan Hu private val vfRfRdata = Wire(Vec(params.numRfRead, UInt(vfSchdParams.rfDataWidth.W))) 180*730cfbc0SXuan Hu private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool()))) 181*730cfbc0SXuan Hu private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W))) 182*730cfbc0SXuan Hu private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W))) 183*730cfbc0SXuan Hu 184*730cfbc0SXuan Hu private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] = 185*730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 186*730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))) 187*730cfbc0SXuan Hu } else { None } 188*730cfbc0SXuan Hu private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] = 189*730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 190*730cfbc0SXuan Hu Some(Wire(Vec(32 + 32, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(32 + 32, UInt(VLEN.W)))) 191*730cfbc0SXuan Hu } else { None } 192*730cfbc0SXuan Hu 193*730cfbc0SXuan Hu private val fpDebugReadData: Option[Vec[UInt]] = 194*730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 195*730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(XLEN.W)))) 196*730cfbc0SXuan Hu } else { None } 197*730cfbc0SXuan Hu private val vecDebugReadData: Option[Vec[UInt]] = 198*730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 199*730cfbc0SXuan Hu Some(Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0)) 200*730cfbc0SXuan Hu } else { None } 201*730cfbc0SXuan Hu 202*730cfbc0SXuan Hu fpDebugReadData.foreach(_ := vfDebugRead 203*730cfbc0SXuan Hu .get._2 204*730cfbc0SXuan Hu .slice(0, 32) 205*730cfbc0SXuan Hu .map(_(63, 0)) 206*730cfbc0SXuan Hu ) // fp only used [63, 0] 207*730cfbc0SXuan Hu vecDebugReadData.foreach(_ := vfDebugRead 208*730cfbc0SXuan Hu .get._2 209*730cfbc0SXuan Hu .slice(32, 64) 210*730cfbc0SXuan Hu .map(x => Seq(x(63, 0), x(127, 64))).flatten 211*730cfbc0SXuan Hu ) 212*730cfbc0SXuan Hu 213*730cfbc0SXuan Hu IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata, 214*730cfbc0SXuan Hu debugReadAddr = intDebugRead.map(_._1), 215*730cfbc0SXuan Hu debugReadData = intDebugRead.map(_._2)) 216*730cfbc0SXuan Hu VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata, 217*730cfbc0SXuan Hu debugReadAddr = vfDebugRead.map(_._1), 218*730cfbc0SXuan Hu debugReadData = vfDebugRead.map(_._2)) 219*730cfbc0SXuan Hu 220*730cfbc0SXuan Hu intRfWaddr := io.fromIntWb.map(_.addr) 221*730cfbc0SXuan Hu intRfWdata := io.fromIntWb.map(_.data) 222*730cfbc0SXuan Hu intRfWen := io.fromIntWb.map(_.wen) 223*730cfbc0SXuan Hu 224*730cfbc0SXuan Hu intRFReadArbiter.io.out.map(_.bits.addr).zip(intRfRaddr).foreach{ case(source, sink) => sink := source } 225*730cfbc0SXuan Hu 226*730cfbc0SXuan Hu vfRfWaddr := io.fromVfWb.map(_.addr) 227*730cfbc0SXuan Hu vfRfWdata := io.fromVfWb.map(_.data) 228*730cfbc0SXuan Hu vfRfWen.foreach(_.zip(io.fromVfWb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } )// Todo: support fp multi-write 229*730cfbc0SXuan Hu 230*730cfbc0SXuan Hu vfRFReadArbiter.io.out.map(_.bits.addr).zip(vfRfRaddr).foreach{ case(source, sink) => sink := source } 231*730cfbc0SXuan Hu 232*730cfbc0SXuan Hu // fromIQFire(i): flattened the i-th deq port fired 233*730cfbc0SXuan Hu private val fromIQFire: IndexedSeq[Bool] = fromIQ.flatten.map(_.fire) 234*730cfbc0SXuan Hu 235*730cfbc0SXuan Hu intDebugRead.foreach { case (addr, _) => 236*730cfbc0SXuan Hu addr := io.debugIntRat 237*730cfbc0SXuan Hu } 238*730cfbc0SXuan Hu 239*730cfbc0SXuan Hu vfDebugRead.foreach { case (addr, _) => 240*730cfbc0SXuan Hu addr := io.debugFpRat ++ io.debugVecRat 241*730cfbc0SXuan Hu } 242*730cfbc0SXuan Hu println(s"[DataPath] " + 243*730cfbc0SXuan Hu s"has intDebugRead: ${intDebugRead.nonEmpty}, " + 244*730cfbc0SXuan Hu s"has vfDebugRead: ${vfDebugRead.nonEmpty}") 245*730cfbc0SXuan Hu 246*730cfbc0SXuan Hu val s1_addrOHs = Reg(MixedVec( 247*730cfbc0SXuan Hu fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType))) 248*730cfbc0SXuan Hu )) 249*730cfbc0SXuan Hu val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec( 250*730cfbc0SXuan Hu toExu.map(x => MixedVec(x.map(_.valid.cloneType))) 251*730cfbc0SXuan Hu )) 252*730cfbc0SXuan Hu val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType))))) 253*730cfbc0SXuan Hu val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType))))) // Todo 254*730cfbc0SXuan Hu val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire))))) 255*730cfbc0SXuan Hu 256*730cfbc0SXuan Hu val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 257*730cfbc0SXuan Hu val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 258*730cfbc0SXuan Hu 259*730cfbc0SXuan Hu val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs)) 260*730cfbc0SXuan Hu 261*730cfbc0SXuan Hu println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}") 262*730cfbc0SXuan Hu s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 263*730cfbc0SXuan Hu s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 264*730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 265*730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[IntRD]) else x).flatten 266*730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 267*730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 268*730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[IntRD] } 269*730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := intRfRdata(cfg.port) } 270*730cfbc0SXuan Hu } 271*730cfbc0SXuan Hu } 272*730cfbc0SXuan Hu 273*730cfbc0SXuan Hu println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}") 274*730cfbc0SXuan Hu s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 275*730cfbc0SXuan Hu s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 276*730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 277*730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[VfRD]) else x).flatten 278*730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 279*730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 280*730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[VfRD] } 281*730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.port) } 282*730cfbc0SXuan Hu } 283*730cfbc0SXuan Hu } 284*730cfbc0SXuan Hu 285*730cfbc0SXuan Hu // var intRfRdataIdx = 0 286*730cfbc0SXuan Hu// var vfRfRdataIdx = 0 287*730cfbc0SXuan Hu// for (iqIdx <- toExu.indices) { 288*730cfbc0SXuan Hu// for (exuIdx <- toExu(iqIdx).indices) { 289*730cfbc0SXuan Hu// for (srcIdx <- toExu(iqIdx)(exuIdx).bits.src.indices) { 290*730cfbc0SXuan Hu// val readDataCfgSet = toExu(iqIdx)(exuIdx).bits.params.getSrcDataType(srcIdx) 291*730cfbc0SXuan Hu// // need read int reg 292*730cfbc0SXuan Hu// if (readDataCfgSet.intersect(IntRegSrcDataSet).nonEmpty) { 293*730cfbc0SXuan Hu// println(s"[DataPath] (iqIdx, exuIdx, srcIdx): ($iqIdx, $exuIdx, $srcIdx)") 294*730cfbc0SXuan Hu// s1_intPregRData(iqIdx)(exuIdx)(srcIdx) := intRfRdata(intRfRdataIdx) 295*730cfbc0SXuan Hu// } else { 296*730cfbc0SXuan Hu// // better for debug, should never assigned to other bundles 297*730cfbc0SXuan Hu// s1_intPregRData(iqIdx)(exuIdx)(srcIdx) := "hdead_beef_dead_beef".U 298*730cfbc0SXuan Hu// } 299*730cfbc0SXuan Hu// // need read vf reg 300*730cfbc0SXuan Hu// if (readDataCfgSet.intersect(VfRegSrcDataSet).nonEmpty) { 301*730cfbc0SXuan Hu// s1_vfPregRData(iqIdx)(exuIdx)(srcIdx) := vfRfRdata(vfRfRdataIdx) 302*730cfbc0SXuan Hu// vfRfRdataIdx += 1 303*730cfbc0SXuan Hu// } else { 304*730cfbc0SXuan Hu// // better for debug, should never assigned to other bundles 305*730cfbc0SXuan Hu// s1_vfPregRData(iqIdx)(exuIdx)(srcIdx) := "hdead_beef_dead_beef_dead_beef_dead_beef".U 306*730cfbc0SXuan Hu// } 307*730cfbc0SXuan Hu// } 308*730cfbc0SXuan Hu// } 309*730cfbc0SXuan Hu// } 310*730cfbc0SXuan Hu// 311*730cfbc0SXuan Hu// println(s"[DataPath] assigned RegFile Rdata: int(${intRfRdataIdx}), vf(${vfRfRdataIdx})") 312*730cfbc0SXuan Hu 313*730cfbc0SXuan Hu for (i <- fromIQ.indices) { 314*730cfbc0SXuan Hu for (j <- fromIQ(i).indices) { 315*730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- begin 316*730cfbc0SXuan Hu // refs 317*730cfbc0SXuan Hu val s1_valid = s1_toExuValid(i)(j) 318*730cfbc0SXuan Hu val s1_ready = s1_toExuReady(i)(j) 319*730cfbc0SXuan Hu val s1_data = s1_toExuData(i)(j) 320*730cfbc0SXuan Hu val s1_addrOH = s1_addrOHs(i)(j) 321*730cfbc0SXuan Hu val s0 = fromIQ(i)(j) // s0 322*730cfbc0SXuan Hu val block = intBlocks(i)(j) || vfBlocks(i)(j) 323*730cfbc0SXuan Hu val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush))) 324*730cfbc0SXuan Hu when (s0.fire && !s1_flush && !block) { 325*730cfbc0SXuan Hu s1_valid := s0.valid 326*730cfbc0SXuan Hu s1_data.fromIssueBundle(s0.bits) // no src data here 327*730cfbc0SXuan Hu s1_addrOH := s0.bits.addrOH 328*730cfbc0SXuan Hu }.otherwise { 329*730cfbc0SXuan Hu s1_valid := false.B 330*730cfbc0SXuan Hu } 331*730cfbc0SXuan Hu 332*730cfbc0SXuan Hu s0.ready := (s1_ready || !s1_valid) && !block 333*730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- end 334*730cfbc0SXuan Hu 335*730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- begin 336*730cfbc0SXuan Hu // imm extract 337*730cfbc0SXuan Hu when (s0.fire && !s1_flush && !block) { 338*730cfbc0SXuan Hu if (s1_data.params.immType.nonEmpty && s1_data.src.size > 1) { 339*730cfbc0SXuan Hu // rs1 is always int reg, rs2 may be imm 340*730cfbc0SXuan Hu when(SrcType.isImm(s0.bits.srcType(1))) { 341*730cfbc0SXuan Hu s1_data.src(1) := ImmExtractor( 342*730cfbc0SXuan Hu s0.bits.common.imm, 343*730cfbc0SXuan Hu s0.bits.immType, 344*730cfbc0SXuan Hu s1_data.DataBits, 345*730cfbc0SXuan Hu s1_data.params.immType.map(_.litValue) 346*730cfbc0SXuan Hu ) 347*730cfbc0SXuan Hu } 348*730cfbc0SXuan Hu } 349*730cfbc0SXuan Hu if (s1_data.params.hasJmpFu) { 350*730cfbc0SXuan Hu when(SrcType.isPc(s0.bits.srcType(0))) { 351*730cfbc0SXuan Hu s1_data.src(0) := SignExt(s0.bits.jmp.get.pc, XLEN) 352*730cfbc0SXuan Hu } 353*730cfbc0SXuan Hu } 354*730cfbc0SXuan Hu } 355*730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- end 356*730cfbc0SXuan Hu } 357*730cfbc0SXuan Hu } 358*730cfbc0SXuan Hu 359*730cfbc0SXuan Hu private val intFromIQFire = fromIQ.map(_.map(_.fire)) 360*730cfbc0SXuan Hu private val intToExuFire = toExu.map(_.map(_.fire)) 361*730cfbc0SXuan Hu toIQs.zipWithIndex.foreach { 362*730cfbc0SXuan Hu case(toIQ, iqIdx) => 363*730cfbc0SXuan Hu toIQ.zipWithIndex.foreach { 364*730cfbc0SXuan Hu case (toIU, iuIdx) => 365*730cfbc0SXuan Hu // IU: issue unit 366*730cfbc0SXuan Hu val og0resp = toIU.og0resp 367*730cfbc0SXuan Hu og0resp.valid := fromIQ(iqIdx)(iuIdx).valid 368*730cfbc0SXuan Hu og0resp.bits.respType := Mux(intFromIQFire(iqIdx)(iuIdx), RSFeedbackType.rfArbitSuccess, RSFeedbackType.rfArbitFail) 369*730cfbc0SXuan Hu og0resp.bits.success := false.B 370*730cfbc0SXuan Hu og0resp.bits.addrOH := fromIQ(iqIdx)(iuIdx).bits.addrOH 371*730cfbc0SXuan Hu 372*730cfbc0SXuan Hu val og1resp = toIU.og1resp 373*730cfbc0SXuan Hu og1resp.valid := s1_toExuValid(iqIdx)(iuIdx) 374*730cfbc0SXuan Hu og1resp.bits.respType := Mux(intToExuFire(iqIdx)(iuIdx), RSFeedbackType.fuIdle, RSFeedbackType.fuBusy) 375*730cfbc0SXuan Hu og1resp.bits.success := false.B 376*730cfbc0SXuan Hu og1resp.bits.addrOH := s1_addrOHs(iqIdx)(iuIdx) 377*730cfbc0SXuan Hu } 378*730cfbc0SXuan Hu } 379*730cfbc0SXuan Hu for (i <- toExu.indices) { 380*730cfbc0SXuan Hu for (j <- toExu(i).indices) { 381*730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- begin 382*730cfbc0SXuan Hu // refs 383*730cfbc0SXuan Hu val sinkData = toExu(i)(j).bits 384*730cfbc0SXuan Hu // assign 385*730cfbc0SXuan Hu toExu(i)(j).valid := s1_toExuValid(i)(j) 386*730cfbc0SXuan Hu s1_toExuReady(i)(j) := toExu(i)(j).ready 387*730cfbc0SXuan Hu sinkData := s1_toExuData(i)(j) 388*730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- end 389*730cfbc0SXuan Hu 390*730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- begin 391*730cfbc0SXuan Hu // data source1: preg read data 392*730cfbc0SXuan Hu for (k <- sinkData.src.indices) { 393*730cfbc0SXuan Hu val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k) 394*730cfbc0SXuan Hu 395*730cfbc0SXuan Hu val readRfMap: Seq[(Bool, UInt)] = (Seq(None) :+ 396*730cfbc0SXuan Hu (if (s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty) 397*730cfbc0SXuan Hu Some(SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)) 398*730cfbc0SXuan Hu else None) :+ 399*730cfbc0SXuan Hu (if (s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VfRegSrcDataSet).nonEmpty) 400*730cfbc0SXuan Hu Some(SrcType.isVfp(s1_srcType(i)(j)(k))-> s1_vfPregRData(i)(j)(k)) 401*730cfbc0SXuan Hu else None) 402*730cfbc0SXuan Hu ).filter(_.nonEmpty).map(_.get) 403*730cfbc0SXuan Hu if (readRfMap.nonEmpty) 404*730cfbc0SXuan Hu sinkData.src(k) := Mux1H(readRfMap) 405*730cfbc0SXuan Hu } 406*730cfbc0SXuan Hu 407*730cfbc0SXuan Hu // data source2: extracted imm and pc saved in s1Reg 408*730cfbc0SXuan Hu if (sinkData.params.immType.nonEmpty && sinkData.src.size > 1) { 409*730cfbc0SXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(1))) { 410*730cfbc0SXuan Hu sinkData.src(1) := s1_toExuData(i)(j).src(1) 411*730cfbc0SXuan Hu } 412*730cfbc0SXuan Hu } 413*730cfbc0SXuan Hu if (sinkData.params.hasJmpFu) { 414*730cfbc0SXuan Hu when(SrcType.isPc(s1_srcType(i)(j)(0))) { 415*730cfbc0SXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 416*730cfbc0SXuan Hu } 417*730cfbc0SXuan Hu } 418*730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- end 419*730cfbc0SXuan Hu } 420*730cfbc0SXuan Hu } 421*730cfbc0SXuan Hu 422*730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 423*730cfbc0SXuan Hu val delayedCnt = 2 424*730cfbc0SXuan Hu val difftestArchIntRegState = Module(new DifftestArchIntRegState) 425*730cfbc0SXuan Hu difftestArchIntRegState.io.clock := clock 426*730cfbc0SXuan Hu difftestArchIntRegState.io.coreid := io.hartId 427*730cfbc0SXuan Hu difftestArchIntRegState.io.gpr := DelayN(intDebugRead.get._2, delayedCnt) 428*730cfbc0SXuan Hu 429*730cfbc0SXuan Hu val difftestArchFpRegState = Module(new DifftestArchFpRegState) 430*730cfbc0SXuan Hu difftestArchFpRegState.io.clock := clock 431*730cfbc0SXuan Hu difftestArchFpRegState.io.coreid := io.hartId 432*730cfbc0SXuan Hu difftestArchFpRegState.io.fpr := DelayN(fpDebugReadData.get, delayedCnt) 433*730cfbc0SXuan Hu 434*730cfbc0SXuan Hu val difftestArchVecRegState = Module(new DifftestArchVecRegState) 435*730cfbc0SXuan Hu difftestArchVecRegState.io.clock := clock 436*730cfbc0SXuan Hu difftestArchVecRegState.io.coreid := io.hartId 437*730cfbc0SXuan Hu difftestArchVecRegState.io.vpr := DelayN(vecDebugReadData.get, delayedCnt) 438*730cfbc0SXuan Hu } 439*730cfbc0SXuan Hu} 440*730cfbc0SXuan Hu 441*730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 442*730cfbc0SXuan Hu // params 443*730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 444*730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 445*730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 446*730cfbc0SXuan Hu // bundles 447*730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 448*730cfbc0SXuan Hu 449*730cfbc0SXuan Hu val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect)) 450*730cfbc0SXuan Hu 451*730cfbc0SXuan Hu val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 452*730cfbc0SXuan Hu Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 453*730cfbc0SXuan Hu 454*730cfbc0SXuan Hu val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 455*730cfbc0SXuan Hu Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 456*730cfbc0SXuan Hu 457*730cfbc0SXuan Hu val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 458*730cfbc0SXuan Hu 459*730cfbc0SXuan Hu val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle)) 460*730cfbc0SXuan Hu 461*730cfbc0SXuan Hu val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle)) 462*730cfbc0SXuan Hu 463*730cfbc0SXuan Hu val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle)) 464*730cfbc0SXuan Hu 465*730cfbc0SXuan Hu val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle 466*730cfbc0SXuan Hu 467*730cfbc0SXuan Hu val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle) 468*730cfbc0SXuan Hu 469*730cfbc0SXuan Hu val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle 470*730cfbc0SXuan Hu 471*730cfbc0SXuan Hu val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle) 472*730cfbc0SXuan Hu 473*730cfbc0SXuan Hu val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle) 474*730cfbc0SXuan Hu 475*730cfbc0SXuan Hu val debugIntRat = Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W))) 476*730cfbc0SXuan Hu val debugFpRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 477*730cfbc0SXuan Hu val debugVecRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 478*730cfbc0SXuan Hu} 479