1adb5df20SYinan Xu/*************************************************************************************** 2adb5df20SYinan Xu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3adb5df20SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4adb5df20SYinan Xu* 5adb5df20SYinan Xu* XiangShan is licensed under Mulan PSL v2. 6adb5df20SYinan Xu* You can use this software according to the terms and conditions of the Mulan PSL v2. 7adb5df20SYinan Xu* You may obtain a copy of Mulan PSL v2 at: 8adb5df20SYinan Xu* http://license.coscl.org.cn/MulanPSL2 9adb5df20SYinan Xu* 10adb5df20SYinan Xu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11adb5df20SYinan Xu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12adb5df20SYinan Xu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13adb5df20SYinan Xu* 14adb5df20SYinan Xu* See the Mulan PSL v2 for more details. 15adb5df20SYinan Xu***************************************************************************************/ 16adb5df20SYinan Xu 17730cfbc0SXuan Hupackage xiangshan.backend.exu 18730cfbc0SXuan Hu 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20730cfbc0SXuan Huimport chisel3._ 21e2446388SYinan Xuimport chisel3.experimental.hierarchy.{Definition, instantiable} 22730cfbc0SXuan Huimport chisel3.util._ 23730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 24bb2f3f51STang Haojinimport utility._ 25730cfbc0SXuan Huimport xiangshan.backend.fu.{CSRFileIO, FenceIO, FuncUnitInput} 26730cfbc0SXuan Huimport xiangshan.backend.Bundles.{ExuInput, ExuOutput, MemExuInput, MemExuOutput} 27c1b28b66STang Haojinimport xiangshan.{AddrTransType, FPUCtrlSignals, HasXSParameter, Redirect, XSBundle, XSModule} 28da6ac6d8Sxiaofeibao-xjtuimport xiangshan.backend.datapath.WbConfig.{PregWB, _} 2929275910SsinceforYyimport xiangshan.backend.fu.FuType 307e4f0b19SZiyue-Zhangimport xiangshan.backend.fu.vector.Bundles.{VType, Vxrm} 317e4f0b19SZiyue-Zhangimport xiangshan.backend.fu.fpu.Bundles.Frm 3215ed99a7SXuan Huimport xiangshan.backend.fu.wrapper.{CSRInput, CSRToDecode} 33730cfbc0SXuan Hu 34730cfbc0SXuan Huclass ExeUnitIO(params: ExeUnitParams)(implicit p: Parameters) extends XSBundle { 35730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect())) 360ed0e482SGuanghui Cheng val in = Flipped(DecoupledIO(new ExuInput(params, hasCopySrc = true))) 37730cfbc0SXuan Hu val out = DecoupledIO(new ExuOutput(params)) 38007f6122SXuan Hu val csrin = Option.when(params.hasCSR)(new CSRInput) 39bb2f3f51STang Haojin val csrio = Option.when(params.hasCSR)(new CSRFileIO) 4015ed99a7SXuan Hu val csrToDecode = Option.when(params.hasCSR)(Output(new CSRToDecode)) 41bb2f3f51STang Haojin val fenceio = Option.when(params.hasFence)(new FenceIO) 42bb2f3f51STang Haojin val frm = Option.when(params.needSrcFrm)(Input(Frm())) 43bb2f3f51STang Haojin val vxrm = Option.when(params.needSrcVxrm)(Input(Vxrm())) 44bb2f3f51STang Haojin val vtype = Option.when(params.writeVConfig)((Valid(new VType))) 45bb2f3f51STang Haojin val vlIsZero = Option.when(params.writeVConfig)(Output(Bool())) 46bb2f3f51STang Haojin val vlIsVlmax = Option.when(params.writeVConfig)(Output(Bool())) 47c1b28b66STang Haojin val instrAddrTransType = Option.when(params.hasJmpFu || params.hasBrhFu)(Input(new AddrTransType)) 48730cfbc0SXuan Hu} 49730cfbc0SXuan Hu 50ff3fcdf1Sxiaofeibao-xjtuclass ExeUnit(val exuParams: ExeUnitParams)(implicit p: Parameters) extends LazyModule { 511ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 521ca4a39dSXuan Hu 53730cfbc0SXuan Hu lazy val module = new ExeUnitImp(this)(p, exuParams) 54730cfbc0SXuan Hu} 55730cfbc0SXuan Hu 56730cfbc0SXuan Huclass ExeUnitImp( 57730cfbc0SXuan Hu override val wrapper: ExeUnit 58730cfbc0SXuan Hu)(implicit 59730cfbc0SXuan Hu p: Parameters, exuParams: ExeUnitParams 6085a8d7caSZehao Liu) extends LazyModuleImp(wrapper) with HasXSParameter with HasCriticalErrors { 61730cfbc0SXuan Hu private val fuCfgs = exuParams.fuConfigs 62730cfbc0SXuan Hu 63730cfbc0SXuan Hu val io = IO(new ExeUnitIO(exuParams)) 64730cfbc0SXuan Hu 65918d87f2SsinceforYy val funcUnits = fuCfgs.map(cfg => { 66d91483a6Sfdy assert(cfg.fuGen != null, cfg.name + "Cfg'fuGen is null !!!") 67730cfbc0SXuan Hu val module = cfg.fuGen(p, cfg) 68730cfbc0SXuan Hu module 69730cfbc0SXuan Hu }) 70730cfbc0SXuan Hu 71918d87f2SsinceforYy if (EnableClockGate) { 72918d87f2SsinceforYy fuCfgs.zip(funcUnits).foreach { case (cfg, fu) => 7329275910SsinceforYy val clk_en = WireInit(false.B) 7429275910SsinceforYy val fuVld_en = WireInit(false.B) 7529275910SsinceforYy val fuVld_en_reg = RegInit(false.B) 7629275910SsinceforYy val uncer_en_reg = RegInit(false.B) 7729275910SsinceforYy 789e200047Slewislzh def latReal: Int = cfg.latency.latencyVal.getOrElse(0) 799e200047Slewislzh def extralat: Int = cfg.latency.extraLatencyVal.getOrElse(0) 8029275910SsinceforYy 817ffbf5fdSZhaoyang You val uncerLat = cfg.latency.uncertainEnable.nonEmpty 8234588aebSlewislzh val lat0 = (latReal == 0 && !uncerLat).asBool 8334588aebSlewislzh val latN = (latReal > 0 && !uncerLat).asBool 8429275910SsinceforYy 857d530865SZhaoyang You val fuVldVec = (fu.io.in.valid && latN) +: Seq.fill(latReal)(RegInit(false.B)) 867d530865SZhaoyang You val fuRdyVec = Seq.fill(latReal)(Wire(Bool())) :+ fu.io.out.ready 8734588aebSlewislzh 8834588aebSlewislzh for (i <- 0 until latReal) { 8929275910SsinceforYy fuRdyVec(i) := !fuVldVec(i + 1) || fuRdyVec(i + 1) 9029275910SsinceforYy } 9129275910SsinceforYy 9234588aebSlewislzh for (i <- 1 to latReal) { 9329275910SsinceforYy when(fuRdyVec(i - 1) && fuVldVec(i - 1)) { 9429275910SsinceforYy fuVldVec(i) := fuVldVec(i - 1) 9529275910SsinceforYy }.elsewhen(fuRdyVec(i)) { 9629275910SsinceforYy fuVldVec(i) := false.B 9729275910SsinceforYy } 9829275910SsinceforYy } 9929275910SsinceforYy fuVld_en := fuVldVec.map(v => v).reduce(_ || _) 10029275910SsinceforYy fuVld_en_reg := fuVld_en 10129275910SsinceforYy 1027d530865SZhaoyang You when(uncerLat.asBool && fu.io.in.fire) { 10329275910SsinceforYy uncer_en_reg := true.B 1047d530865SZhaoyang You }.elsewhen(uncerLat.asBool && fu.io.out.fire) { 10529275910SsinceforYy uncer_en_reg := false.B 10629275910SsinceforYy } 10729275910SsinceforYy 1087d530865SZhaoyang You when(lat0 && fu.io.in.fire) { 10929275910SsinceforYy clk_en := true.B 11029275910SsinceforYy }.elsewhen(latN && fuVld_en || fuVld_en_reg) { 11129275910SsinceforYy clk_en := true.B 1127d530865SZhaoyang You }.elsewhen(uncerLat.asBool && fu.io.in.fire || uncer_en_reg) { 11329275910SsinceforYy clk_en := true.B 11429275910SsinceforYy } 11529275910SsinceforYy 11629275910SsinceforYy if (cfg.ckAlwaysEn) { 11729275910SsinceforYy clk_en := true.B 11829275910SsinceforYy } 11929275910SsinceforYy 1208338e674Sxiaofeibao-xjtu if (latReal != 0 || uncerLat) { 1214b2c87baS梁森 Liang Sen fu.clock := ClockGate(ClockGate.genTeSink.cgen, clk_en, clock) 1228338e674Sxiaofeibao-xjtu } 1237478b58eSsinceforYy XSPerfAccumulate(s"clock_gate_en_${fu.cfg.name}", clk_en) 124918d87f2SsinceforYy } 12529275910SsinceforYy } 12629275910SsinceforYy 127730cfbc0SXuan Hu val busy = RegInit(false.B) 128c1e19666Sxiaofeibao-xjtu if (exuParams.latencyCertain){ 129c1e19666Sxiaofeibao-xjtu busy := false.B 130c1e19666Sxiaofeibao-xjtu } 131c1e19666Sxiaofeibao-xjtu else { 132730cfbc0SXuan Hu val robIdx = RegEnable(io.in.bits.robIdx, io.in.fire) 133ab9180dfSfdy when(io.in.fire && io.in.bits.robIdx.needFlush(io.flush)) { 134ab9180dfSfdy busy := false.B 135ab9180dfSfdy }.elsewhen(busy && robIdx.needFlush(io.flush)) { 136730cfbc0SXuan Hu busy := false.B 137730cfbc0SXuan Hu }.elsewhen(io.out.fire) { 138730cfbc0SXuan Hu busy := false.B 139730cfbc0SXuan Hu }.elsewhen(io.in.fire) { 140730cfbc0SXuan Hu busy := true.B 141730cfbc0SXuan Hu } 142ea0f92d8Sczw } 143da6ac6d8Sxiaofeibao-xjtu 144da6ac6d8Sxiaofeibao-xjtu exuParams.wbPortConfigs.map{ 145da6ac6d8Sxiaofeibao-xjtu x => x match { 146b133b458SXuan Hu case IntWB(port, priority) => assert(priority >= 0 && priority <= 2, 147da6ac6d8Sxiaofeibao-xjtu s"${exuParams.name}: WbPort must priority=0 or priority=1") 148a0998bbdSxiaofeibao case FpWB(port, priority) => assert(priority >= 0 && priority <= 2, 149a0998bbdSxiaofeibao s"${exuParams.name}: WbPort must priority=0 or priority=1") 150b133b458SXuan Hu case VfWB (port, priority) => assert(priority >= 0 && priority <= 2, 151da6ac6d8Sxiaofeibao-xjtu s"${exuParams.name}: WbPort must priority=0 or priority=1") 152da6ac6d8Sxiaofeibao-xjtu case _ => 153da6ac6d8Sxiaofeibao-xjtu } 154da6ac6d8Sxiaofeibao-xjtu } 155da6ac6d8Sxiaofeibao-xjtu val intWbPort = exuParams.getIntWBPort 156da6ac6d8Sxiaofeibao-xjtu if (intWbPort.isDefined){ 157da6ac6d8Sxiaofeibao-xjtu val sameIntPortExuParam = backendParams.allExuParams.filter(_.getIntWBPort.isDefined) 158da6ac6d8Sxiaofeibao-xjtu .filter(_.getIntWBPort.get.port == intWbPort.get.port) 159da6ac6d8Sxiaofeibao-xjtu val samePortOneCertainOneUncertain = sameIntPortExuParam.map(_.latencyCertain).contains(true) && sameIntPortExuParam.map(_.latencyCertain).contains(false) 160da6ac6d8Sxiaofeibao-xjtu if (samePortOneCertainOneUncertain) sameIntPortExuParam.map(samePort => 161da6ac6d8Sxiaofeibao-xjtu samePort.wbPortConfigs.map( 162da6ac6d8Sxiaofeibao-xjtu x => x match { 163da6ac6d8Sxiaofeibao-xjtu case IntWB(port, priority) => { 1649c890e56SXuan Hu if (!samePort.latencyCertain) assert(priority == sameIntPortExuParam.size - 1, 1659c890e56SXuan Hu s"${samePort.name}: IntWbPort $port must latencyCertain priority=0 or latencyUnCertain priority=max(${sameIntPortExuParam.size - 1})") 1669c890e56SXuan Hu // Certain latency can be handled by WbBusyTable, so there is no need to limit the exu's WB priority 167da6ac6d8Sxiaofeibao-xjtu } 168da6ac6d8Sxiaofeibao-xjtu case _ => 169da6ac6d8Sxiaofeibao-xjtu } 170da6ac6d8Sxiaofeibao-xjtu ) 171da6ac6d8Sxiaofeibao-xjtu ) 172da6ac6d8Sxiaofeibao-xjtu } 173a0998bbdSxiaofeibao val fpWbPort = exuParams.getFpWBPort 174a0998bbdSxiaofeibao if (fpWbPort.isDefined) { 175a0998bbdSxiaofeibao val sameFpPortExuParam = backendParams.allExuParams.filter(_.getFpWBPort.isDefined) 176a0998bbdSxiaofeibao .filter(_.getFpWBPort.get.port == fpWbPort.get.port) 177a0998bbdSxiaofeibao val samePortOneCertainOneUncertain = sameFpPortExuParam.map(_.latencyCertain).contains(true) && sameFpPortExuParam.map(_.latencyCertain).contains(false) 178a0998bbdSxiaofeibao if (samePortOneCertainOneUncertain) sameFpPortExuParam.map(samePort => 179a0998bbdSxiaofeibao samePort.wbPortConfigs.map( 180a0998bbdSxiaofeibao x => x match { 181a0998bbdSxiaofeibao case FpWB(port, priority) => { 182a0998bbdSxiaofeibao if (!samePort.latencyCertain) assert(priority == sameFpPortExuParam.size - 1, 183a0998bbdSxiaofeibao s"${samePort.name}: FpWbPort $port must latencyCertain priority=0 or latencyUnCertain priority=max(${sameFpPortExuParam.size - 1})") 184a0998bbdSxiaofeibao // Certain latency can be handled by WbBusyTable, so there is no need to limit the exu's WB priority 185a0998bbdSxiaofeibao } 186a0998bbdSxiaofeibao case _ => 187a0998bbdSxiaofeibao } 188a0998bbdSxiaofeibao ) 189a0998bbdSxiaofeibao ) 190a0998bbdSxiaofeibao } 191da6ac6d8Sxiaofeibao-xjtu val vfWbPort = exuParams.getVfWBPort 192da6ac6d8Sxiaofeibao-xjtu if (vfWbPort.isDefined) { 193da6ac6d8Sxiaofeibao-xjtu val sameVfPortExuParam = backendParams.allExuParams.filter(_.getVfWBPort.isDefined) 194da6ac6d8Sxiaofeibao-xjtu .filter(_.getVfWBPort.get.port == vfWbPort.get.port) 195da6ac6d8Sxiaofeibao-xjtu val samePortOneCertainOneUncertain = sameVfPortExuParam.map(_.latencyCertain).contains(true) && sameVfPortExuParam.map(_.latencyCertain).contains(false) 196da6ac6d8Sxiaofeibao-xjtu if (samePortOneCertainOneUncertain) sameVfPortExuParam.map(samePort => 197da6ac6d8Sxiaofeibao-xjtu samePort.wbPortConfigs.map( 198da6ac6d8Sxiaofeibao-xjtu x => x match { 199da6ac6d8Sxiaofeibao-xjtu case VfWB(port, priority) => { 2009c890e56SXuan Hu if (!samePort.latencyCertain) assert(priority == sameVfPortExuParam.size - 1, 2019c890e56SXuan Hu s"${samePort.name}: VfWbPort $port must latencyCertain priority=0 or latencyUnCertain priority=max(${sameVfPortExuParam.size - 1})") 2029c890e56SXuan Hu // Certain latency can be handled by WbBusyTable, so there is no need to limit the exu's WB priority 203da6ac6d8Sxiaofeibao-xjtu } 204da6ac6d8Sxiaofeibao-xjtu case _ => 205da6ac6d8Sxiaofeibao-xjtu } 206da6ac6d8Sxiaofeibao-xjtu ) 207da6ac6d8Sxiaofeibao-xjtu ) 208da6ac6d8Sxiaofeibao-xjtu } 2098d081717Sszw_kaixin if(backendParams.debugEn) { 2102e0a7dc5Sfdy dontTouch(io.out.ready) 2118d081717Sszw_kaixin } 212730cfbc0SXuan Hu // rob flush --> funcUnits 213730cfbc0SXuan Hu funcUnits.zipWithIndex.foreach { case (fu, i) => 214730cfbc0SXuan Hu fu.io.flush <> io.flush 215730cfbc0SXuan Hu } 216730cfbc0SXuan Hu 217730cfbc0SXuan Hu def acceptCond(input: ExuInput): Seq[Bool] = { 218730cfbc0SXuan Hu input.params.fuConfigs.map(_.fuSel(input)) 219730cfbc0SXuan Hu } 220730cfbc0SXuan Hu 221730cfbc0SXuan Hu val in1ToN = Module(new Dispatcher(new ExuInput(exuParams), funcUnits.length, acceptCond)) 222730cfbc0SXuan Hu 223730cfbc0SXuan Hu // ExeUnit.in <---> Dispatcher.in 2242e0a7dc5Sfdy in1ToN.io.in.valid := io.in.valid && !busy 225730cfbc0SXuan Hu in1ToN.io.in.bits := io.in.bits 2262e0a7dc5Sfdy io.in.ready := !busy && in1ToN.io.in.ready 227730cfbc0SXuan Hu 228472967baSxiaofeibao def pipelineReg(init: ExuInput, valid: Bool, latency: Int, flush: ValidIO[Redirect]): (Seq[ExuInput], Seq[Bool]) = { 229472967baSxiaofeibao val validVec = valid +: Seq.fill(latency)(RegInit(false.B)) 230472967baSxiaofeibao val inVec = init +: Seq.fill(latency)(Reg(new ExuInput(exuParams))) 231472967baSxiaofeibao val robIdxVec = inVec.map(_.robIdx) 232472967baSxiaofeibao // if flush(0), valid 0 will not given, so set flushVec(0) to false.B 233472967baSxiaofeibao val flushVec = validVec.zip(robIdxVec).map(x => x._1 && x._2.needFlush(flush)) 234472967baSxiaofeibao for (i <- 1 to latency) { 235472967baSxiaofeibao validVec(i) := validVec(i - 1) && !flushVec(i - 1) 236472967baSxiaofeibao inVec(i) := inVec(i - 1) 237472967baSxiaofeibao } 238472967baSxiaofeibao (inVec, validVec) 239472967baSxiaofeibao } 240472967baSxiaofeibao val latencyMax = fuCfgs.map(_.latency.latencyVal.getOrElse(0)).max 241472967baSxiaofeibao val inPipe = pipelineReg(io.in.bits, io.in.valid, latencyMax, io.flush) 242730cfbc0SXuan Hu // Dispatcher.out <---> FunctionUnits 243730cfbc0SXuan Hu in1ToN.io.out.zip(funcUnits.map(_.io.in)).foreach { 244730cfbc0SXuan Hu case (source: DecoupledIO[ExuInput], sink: DecoupledIO[FuncUnitInput]) => 245730cfbc0SXuan Hu sink.valid := source.valid 246730cfbc0SXuan Hu source.ready := sink.ready 247730cfbc0SXuan Hu 2486a35d972SXuan Hu sink.bits.data.pc .foreach(x => x := source.bits.pc.get) 249a2fa0ad9Sxiaofeibao sink.bits.data.nextPcOffset.foreach(x => x := source.bits.nextPcOffset.get) 2506a35d972SXuan Hu sink.bits.data.imm := source.bits.imm 2516a35d972SXuan Hu sink.bits.ctrl.fuOpType := source.bits.fuOpType 2526a35d972SXuan Hu sink.bits.ctrl.robIdx := source.bits.robIdx 2536a35d972SXuan Hu sink.bits.ctrl.pdest := source.bits.pdest 2546a35d972SXuan Hu sink.bits.ctrl.rfWen .foreach(x => x := source.bits.rfWen.get) 2556a35d972SXuan Hu sink.bits.ctrl.fpWen .foreach(x => x := source.bits.fpWen.get) 2566a35d972SXuan Hu sink.bits.ctrl.vecWen .foreach(x => x := source.bits.vecWen.get) 257db7becb6Sxiaofeibao sink.bits.ctrl.v0Wen .foreach(x => x := source.bits.v0Wen.get) 258db7becb6Sxiaofeibao sink.bits.ctrl.vlWen .foreach(x => x := source.bits.vlWen.get) 2596a35d972SXuan Hu sink.bits.ctrl.flushPipe .foreach(x => x := source.bits.flushPipe.get) 2606a35d972SXuan Hu sink.bits.ctrl.preDecode .foreach(x => x := source.bits.preDecode.get) 2616a35d972SXuan Hu sink.bits.ctrl.ftqIdx .foreach(x => x := source.bits.ftqIdx.get) 2626a35d972SXuan Hu sink.bits.ctrl.ftqOffset .foreach(x => x := source.bits.ftqOffset.get) 2636a35d972SXuan Hu sink.bits.ctrl.predictInfo .foreach(x => x := source.bits.predictInfo.get) 264b6b11f60SXuan Hu sink.bits.ctrl.fpu .foreach(x => x := source.bits.fpu.get) 265b6b11f60SXuan Hu sink.bits.ctrl.vpu .foreach(x => x := source.bits.vpu.get) 2660fbf39afSlewislzh sink.bits.ctrl.vpu .foreach(x => x.fpu.isFpToVecInst := 0.U) 2670fbf39afSlewislzh sink.bits.ctrl.vpu .foreach(x => x.fpu.isFP32Instr := 0.U) 2680fbf39afSlewislzh sink.bits.ctrl.vpu .foreach(x => x.fpu.isFP64Instr := 0.U) 26996e858baSXuan Hu sink.bits.perfDebugInfo := source.bits.perfDebugInfo 270*1592abd1SYan Xu sink.bits.debug_seqNum := source.bits.debug_seqNum 271730cfbc0SXuan Hu } 272472967baSxiaofeibao funcUnits.filter(_.cfg.latency.latencyVal.nonEmpty).map{ fu => 273472967baSxiaofeibao val latency = fu.cfg.latency.latencyVal.getOrElse(0) 274472967baSxiaofeibao for (i <- 0 until (latency+1)) { 275472967baSxiaofeibao val sink = fu.io.in.bits.ctrlPipe.get(i) 276472967baSxiaofeibao val source = inPipe._1(i) 277472967baSxiaofeibao fu.io.in.bits.validPipe.get(i) := inPipe._2(i) 278472967baSxiaofeibao sink.fuOpType := source.fuOpType 279472967baSxiaofeibao sink.robIdx := source.robIdx 280472967baSxiaofeibao sink.pdest := source.pdest 281472967baSxiaofeibao sink.rfWen.foreach(x => x := source.rfWen.get) 282472967baSxiaofeibao sink.fpWen.foreach(x => x := source.fpWen.get) 283472967baSxiaofeibao sink.vecWen.foreach(x => x := source.vecWen.get) 284472967baSxiaofeibao sink.v0Wen.foreach(x => x := source.v0Wen.get) 285472967baSxiaofeibao sink.vlWen.foreach(x => x := source.vlWen.get) 286472967baSxiaofeibao sink.flushPipe.foreach(x => x := source.flushPipe.get) 287472967baSxiaofeibao sink.preDecode.foreach(x => x := source.preDecode.get) 288472967baSxiaofeibao sink.ftqIdx.foreach(x => x := source.ftqIdx.get) 289472967baSxiaofeibao sink.ftqOffset.foreach(x => x := source.ftqOffset.get) 290472967baSxiaofeibao sink.predictInfo.foreach(x => x := source.predictInfo.get) 291472967baSxiaofeibao sink.fpu.foreach(x => x := source.fpu.get) 292472967baSxiaofeibao sink.vpu.foreach(x => x := source.vpu.get) 293472967baSxiaofeibao sink.vpu.foreach(x => x.fpu.isFpToVecInst := 0.U) 294472967baSxiaofeibao sink.vpu.foreach(x => x.fpu.isFP32Instr := 0.U) 295472967baSxiaofeibao sink.vpu.foreach(x => x.fpu.isFP64Instr := 0.U) 296b67a2036Sxiaofeibao val sinkData = fu.io.in.bits.dataPipe.get(i) 297b67a2036Sxiaofeibao val sourceData = inPipe._1(i) 298b67a2036Sxiaofeibao sinkData.src.zip(sourceData.src).foreach { case (fuSrc, exuSrc) => fuSrc := exuSrc } 299b67a2036Sxiaofeibao sinkData.pc.foreach(x => x := sourceData.pc.get) 300b67a2036Sxiaofeibao sinkData.nextPcOffset.foreach(x => x := sourceData.nextPcOffset.get) 301b67a2036Sxiaofeibao sinkData.imm := sourceData.imm 302472967baSxiaofeibao } 303472967baSxiaofeibao } 304730cfbc0SXuan Hu 3050ed0e482SGuanghui Cheng funcUnits.zip(exuParams.idxCopySrc).map{ case(fu, idx) => 3060ed0e482SGuanghui Cheng (fu.io.in.bits.data.src).zip(io.in.bits.src).foreach { case(fuSrc, exuSrc) => fuSrc := exuSrc } 3070ed0e482SGuanghui Cheng if(fu.cfg.srcNeedCopy) { 3080ed0e482SGuanghui Cheng (fu.io.in.bits.data.src).zip(io.in.bits.copySrc.get(idx)).foreach { case(fuSrc, copySrc) => fuSrc := copySrc } 3090ed0e482SGuanghui Cheng } 3100ed0e482SGuanghui Cheng } 3110ed0e482SGuanghui Cheng 31234588aebSlewislzh private val OutresVecs = funcUnits.map { fu => 3139e200047Slewislzh def latDiff :Int = fu.cfg.latency.extraLatencyVal.getOrElse(0) 31434588aebSlewislzh val OutresVec = fu.io.out.bits.res +: Seq.fill(latDiff)(Reg(chiselTypeOf(fu.io.out.bits.res))) 31534588aebSlewislzh for (i <- 1 to latDiff) { 31634588aebSlewislzh OutresVec(i) := OutresVec(i - 1) 31734588aebSlewislzh } 31834588aebSlewislzh OutresVec 31934588aebSlewislzh } 32034588aebSlewislzh OutresVecs.foreach(vec => vec.foreach(res =>dontTouch(res))) 32134588aebSlewislzh 322730cfbc0SXuan Hu private val fuOutValidOH = funcUnits.map(_.io.out.valid) 323ea0f92d8Sczw XSError(PopCount(fuOutValidOH) > 1.U, p"fuOutValidOH ${Binary(VecInit(fuOutValidOH).asUInt)} should be one-hot)\n") 324730cfbc0SXuan Hu private val fuOutBitsVec = funcUnits.map(_.io.out.bits) 32534588aebSlewislzh private val fuOutresVec = OutresVecs.map(_.last) 32634588aebSlewislzh private val fuRedirectVec: Seq[Option[ValidIO[Redirect]]] = fuOutresVec.map(_.redirect) 327730cfbc0SXuan Hu 328730cfbc0SXuan Hu // Assume that one fu can only write int or fp or vec, 329730cfbc0SXuan Hu // otherwise, wenVec should be assigned to wen in fu. 3305edcc45fSHaojin Tang private val fuIntWenVec = funcUnits.map(x => x.cfg.needIntWen.B && x.io.out.bits.ctrl.rfWen.getOrElse(false.B)) 3315edcc45fSHaojin Tang private val fuFpWenVec = funcUnits.map( x => x.cfg.needFpWen.B && x.io.out.bits.ctrl.fpWen.getOrElse(false.B)) 3325edcc45fSHaojin Tang private val fuVecWenVec = funcUnits.map(x => x.cfg.needVecWen.B && x.io.out.bits.ctrl.vecWen.getOrElse(false.B)) 333db7becb6Sxiaofeibao private val fuV0WenVec = funcUnits.map(x => x.cfg.needV0Wen.B && x.io.out.bits.ctrl.v0Wen.getOrElse(false.B)) 334db7becb6Sxiaofeibao private val fuVlWenVec = funcUnits.map(x => x.cfg.needVlWen.B && x.io.out.bits.ctrl.vlWen.getOrElse(false.B)) 335730cfbc0SXuan Hu // FunctionUnits <---> ExeUnit.out 336618b89e6Slewislzh 337618b89e6Slewislzh private val outDataVec = Seq( 338618b89e6Slewislzh Some(fuOutresVec.map(_.data)), 339bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeIntRf)) 340bb2f3f51STang Haojin (funcUnits.zip(fuOutresVec).filter{ case (fu, _) => fu.cfg.writeIntRf}.map{ case(_, fuout) => fuout.data}), 341bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeFpRf)) 342bb2f3f51STang Haojin (funcUnits.zip(fuOutresVec).filter{ case (fu, _) => fu.cfg.writeFpRf}.map{ case(_, fuout) => fuout.data}), 343bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeVecRf)) 344bb2f3f51STang Haojin (funcUnits.zip(fuOutresVec).filter{ case (fu, _) => fu.cfg.writeVecRf}.map{ case(_, fuout) => fuout.data}), 345bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeV0Rf)) 346bb2f3f51STang Haojin (funcUnits.zip(fuOutresVec).filter{ case (fu, _) => fu.cfg.writeV0Rf}.map{ case(_, fuout) => fuout.data}), 347bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeVlRf)) 348bb2f3f51STang Haojin (funcUnits.zip(fuOutresVec).filter{ case (fu, _) => fu.cfg.writeVlRf}.map{ case(_, fuout) => fuout.data}), 349618b89e6Slewislzh ).flatten 350618b89e6Slewislzh private val outDataValidOH = Seq( 351618b89e6Slewislzh Some(fuOutValidOH), 352bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeIntRf)) 353bb2f3f51STang Haojin (funcUnits.zip(fuOutValidOH).filter{ case (fu, _) => fu.cfg.writeIntRf}.map{ case(_, fuoutOH) => fuoutOH}), 354bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeFpRf)) 355bb2f3f51STang Haojin (funcUnits.zip(fuOutValidOH).filter{ case (fu, _) => fu.cfg.writeFpRf}.map{ case(_, fuoutOH) => fuoutOH}), 356bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeVecRf)) 357bb2f3f51STang Haojin (funcUnits.zip(fuOutValidOH).filter{ case (fu, _) => fu.cfg.writeVecRf}.map{ case(_, fuoutOH) => fuoutOH}), 358bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeV0Rf)) 359bb2f3f51STang Haojin (funcUnits.zip(fuOutValidOH).filter{ case (fu, _) => fu.cfg.writeV0Rf}.map{ case(_, fuoutOH) => fuoutOH}), 360bb2f3f51STang Haojin Option.when(funcUnits.exists(_.cfg.writeVlRf)) 361bb2f3f51STang Haojin (funcUnits.zip(fuOutValidOH).filter{ case (fu, _) => fu.cfg.writeVlRf}.map{ case(_, fuoutOH) => fuoutOH}), 362618b89e6Slewislzh ).flatten 363618b89e6Slewislzh 36485a8d7caSZehao Liu val criticalErrors = funcUnits.filter(fu => fu.cfg.needCriticalErrors).flatMap(fu => fu.getCriticalErrors) 36585a8d7caSZehao Liu generateCriticalErrors() 36685a8d7caSZehao Liu 367730cfbc0SXuan Hu io.out.valid := Cat(fuOutValidOH).orR 368730cfbc0SXuan Hu funcUnits.foreach(fu => fu.io.out.ready := io.out.ready) 369730cfbc0SXuan Hu 370730cfbc0SXuan Hu // select one fu's result 371618b89e6Slewislzh io.out.bits.data := VecInit(outDataVec.zip(outDataValidOH).map{ case(data, validOH) => Mux1H(validOH, data)}) 3726a35d972SXuan Hu io.out.bits.robIdx := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.robIdx)) 3736a35d972SXuan Hu io.out.bits.pdest := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.pdest)) 374730cfbc0SXuan Hu io.out.bits.intWen.foreach(x => x := Mux1H(fuOutValidOH, fuIntWenVec)) 375730cfbc0SXuan Hu io.out.bits.fpWen.foreach(x => x := Mux1H(fuOutValidOH, fuFpWenVec)) 376730cfbc0SXuan Hu io.out.bits.vecWen.foreach(x => x := Mux1H(fuOutValidOH, fuVecWenVec)) 377db7becb6Sxiaofeibao io.out.bits.v0Wen.foreach(x => x := Mux1H(fuOutValidOH, fuV0WenVec)) 378db7becb6Sxiaofeibao io.out.bits.vlWen.foreach(x => x := Mux1H(fuOutValidOH, fuVlWenVec)) 379730cfbc0SXuan Hu io.out.bits.redirect.foreach(x => x := Mux1H((fuOutValidOH zip fuRedirectVec).filter(_._2.isDefined).map(x => (x._1, x._2.get)))) 38034588aebSlewislzh io.out.bits.fflags.foreach(x => x := Mux1H(fuOutValidOH, fuOutresVec.map(_.fflags.getOrElse(0.U.asTypeOf(io.out.bits.fflags.get))))) 3813bc74e23SzhanglyGit io.out.bits.wflags.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.fpu.getOrElse(0.U.asTypeOf(new FPUCtrlSignals)).wflags))) 38234588aebSlewislzh io.out.bits.vxsat.foreach(x => x := Mux1H(fuOutValidOH, fuOutresVec.map(_.vxsat.getOrElse(0.U.asTypeOf(io.out.bits.vxsat.get))))) 3836a35d972SXuan Hu io.out.bits.exceptionVec.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.exceptionVec.getOrElse(0.U.asTypeOf(io.out.bits.exceptionVec.get))))) 3846a35d972SXuan Hu io.out.bits.flushPipe.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.flushPipe.getOrElse(0.U.asTypeOf(io.out.bits.flushPipe.get))))) 3856a35d972SXuan Hu io.out.bits.replay.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.replay.getOrElse(0.U.asTypeOf(io.out.bits.replay.get))))) 3866a35d972SXuan Hu io.out.bits.predecodeInfo.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.preDecode.getOrElse(0.U.asTypeOf(io.out.bits.predecodeInfo.get))))) 387730cfbc0SXuan Hu 388dd6a851fSfdy io.csrio.foreach(exuio => funcUnits.foreach(fu => fu.io.csrio.foreach{ 389dd6a851fSfdy fuio => 390dd6a851fSfdy exuio <> fuio 391dd6a851fSfdy fuio.exception := DelayN(exuio.exception, 2) 392c1b28b66STang Haojin fuio.robDeqPtr := DelayN(exuio.robDeqPtr, 2) 393dd6a851fSfdy })) 394007f6122SXuan Hu io.csrin.foreach(exuio => funcUnits.foreach(fu => fu.io.csrin.foreach{fuio => fuio := exuio})) 39515ed99a7SXuan Hu io.csrToDecode.foreach(toDecode => funcUnits.foreach(fu => fu.io.csrToDecode.foreach(fuOut => toDecode := fuOut))) 3967e4f0b19SZiyue-Zhang 3977e4f0b19SZiyue-Zhang io.vtype.foreach(exuio => funcUnits.foreach(fu => fu.io.vtype.foreach(fuio => exuio := fuio))) 398730cfbc0SXuan Hu io.fenceio.foreach(exuio => funcUnits.foreach(fu => fu.io.fenceio.foreach(fuio => fuio <> exuio))) 399730cfbc0SXuan Hu io.frm.foreach(exuio => funcUnits.foreach(fu => fu.io.frm.foreach(fuio => fuio <> exuio))) 40017985fbbSZiyue Zhang io.vxrm.foreach(exuio => funcUnits.foreach(fu => fu.io.vxrm.foreach(fuio => fuio <> exuio))) 401b6279fc6SZiyue Zhang io.vlIsZero.foreach(exuio => funcUnits.foreach(fu => fu.io.vlIsZero.foreach(fuio => exuio := fuio))) 402b6279fc6SZiyue Zhang io.vlIsVlmax.foreach(exuio => funcUnits.foreach(fu => fu.io.vlIsVlmax.foreach(fuio => exuio := fuio))) 403c1b28b66STang Haojin io.instrAddrTransType.foreach(exuio => funcUnits.foreach(fu => fu.io.instrAddrTransType.foreach(fuio => fuio := exuio))) 404730cfbc0SXuan Hu 405730cfbc0SXuan Hu // debug info 406730cfbc0SXuan Hu io.out.bits.debug := 0.U.asTypeOf(io.out.bits.debug) 407c10dd331SXuan Hu io.out.bits.debug.isPerfCnt := funcUnits.map(_.io.csrio.map(_.isPerfCnt)).map(_.getOrElse(false.B)).reduce(_ || _) 40896e858baSXuan Hu io.out.bits.debugInfo := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.perfDebugInfo)) 409*1592abd1SYan Xu io.out.bits.debug_seqNum := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.debug_seqNum)) 410730cfbc0SXuan Hu} 411730cfbc0SXuan Hu 412730cfbc0SXuan Huclass DispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle { 413730cfbc0SXuan Hu val in = Flipped(DecoupledIO(gen)) 414730cfbc0SXuan Hu 415730cfbc0SXuan Hu val out = Vec(n, DecoupledIO(gen)) 416730cfbc0SXuan Hu} 417730cfbc0SXuan Hu 418730cfbc0SXuan Huclass Dispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => Seq[Bool]) 419730cfbc0SXuan Hu (implicit p: Parameters) 420730cfbc0SXuan Hu extends Module { 421730cfbc0SXuan Hu 422730cfbc0SXuan Hu val io = IO(new DispatcherIO(gen, n)) 423730cfbc0SXuan Hu 424730cfbc0SXuan Hu private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits)) 425730cfbc0SXuan Hu 426c83747bfSYangyu Chen XSError(io.in.valid && PopCount(acceptVec) > 1.U, p"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ") 427730cfbc0SXuan Hu XSError(io.in.valid && PopCount(acceptVec) === 0.U, "[ExeUnit] there is a inst not dispatched to any fu") 428730cfbc0SXuan Hu 429730cfbc0SXuan Hu io.out.zipWithIndex.foreach { case (out, i) => 4302e0a7dc5Sfdy out.valid := acceptVec(i) && io.in.valid 431730cfbc0SXuan Hu out.bits := io.in.bits 432730cfbc0SXuan Hu } 433730cfbc0SXuan Hu 4349eecf55cSxiaofeibao io.in.ready := Cat(io.out.map(_.ready)).andR 435730cfbc0SXuan Hu} 436730cfbc0SXuan Hu 437730cfbc0SXuan Huclass MemExeUnitIO (implicit p: Parameters) extends XSBundle { 438730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect())) 439730cfbc0SXuan Hu val in = Flipped(DecoupledIO(new MemExuInput())) 440730cfbc0SXuan Hu val out = DecoupledIO(new MemExuOutput()) 441730cfbc0SXuan Hu} 442730cfbc0SXuan Hu 443730cfbc0SXuan Huclass MemExeUnit(exuParams: ExeUnitParams)(implicit p: Parameters) extends XSModule { 444730cfbc0SXuan Hu val io = IO(new MemExeUnitIO) 445730cfbc0SXuan Hu val fu = exuParams.fuConfigs.head.fuGen(p, exuParams.fuConfigs.head) 446730cfbc0SXuan Hu fu.io.flush := io.flush 447730cfbc0SXuan Hu fu.io.in.valid := io.in.valid 448730cfbc0SXuan Hu io.in.ready := fu.io.in.ready 449730cfbc0SXuan Hu 4506a35d972SXuan Hu fu.io.in.bits.ctrl.robIdx := io.in.bits.uop.robIdx 4516a35d972SXuan Hu fu.io.in.bits.ctrl.pdest := io.in.bits.uop.pdest 4526a35d972SXuan Hu fu.io.in.bits.ctrl.fuOpType := io.in.bits.uop.fuOpType 4536a35d972SXuan Hu fu.io.in.bits.data.imm := io.in.bits.uop.imm 4546a35d972SXuan Hu fu.io.in.bits.data.src.zip(io.in.bits.src).foreach(x => x._1 := x._2) 45596e858baSXuan Hu fu.io.in.bits.perfDebugInfo := io.in.bits.uop.debugInfo 456*1592abd1SYan Xu fu.io.in.bits.debug_seqNum := io.in.bits.uop.debug_seqNum 457730cfbc0SXuan Hu 458730cfbc0SXuan Hu io.out.valid := fu.io.out.valid 459730cfbc0SXuan Hu fu.io.out.ready := io.out.ready 460730cfbc0SXuan Hu 461730cfbc0SXuan Hu io.out.bits := 0.U.asTypeOf(io.out.bits) // dontCare other fields 4626a35d972SXuan Hu io.out.bits.data := fu.io.out.bits.res.data 4636a35d972SXuan Hu io.out.bits.uop.robIdx := fu.io.out.bits.ctrl.robIdx 4646a35d972SXuan Hu io.out.bits.uop.pdest := fu.io.out.bits.ctrl.pdest 465730cfbc0SXuan Hu io.out.bits.uop.fuType := io.in.bits.uop.fuType 466730cfbc0SXuan Hu io.out.bits.uop.fuOpType:= io.in.bits.uop.fuOpType 467730cfbc0SXuan Hu io.out.bits.uop.sqIdx := io.in.bits.uop.sqIdx 46896e858baSXuan Hu io.out.bits.uop.debugInfo := fu.io.out.bits.perfDebugInfo 469*1592abd1SYan Xu io.out.bits.uop.debug_seqNum := fu.io.out.bits.debug_seqNum 470730cfbc0SXuan Hu 471730cfbc0SXuan Hu io.out.bits.debug := 0.U.asTypeOf(io.out.bits.debug) 472730cfbc0SXuan Hu}