xref: /XiangShan/src/main/scala/xiangshan/backend/exu/ExeUnit.scala (revision 01ceb97cd89cbe2933a6f16f70e329635d56614d)
1730cfbc0SXuan Hupackage xiangshan.backend.exu
2730cfbc0SXuan Hu
3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters
4730cfbc0SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
7dd6a851fSfdyimport utility.DelayN
8730cfbc0SXuan Huimport utils._
9730cfbc0SXuan Huimport xiangshan.backend.fu.{CSRFileIO, FenceIO, FuncUnitInput}
10730cfbc0SXuan Huimport xiangshan.backend.Bundles.{ExuInput, ExuOutput, MemExuInput, MemExuOutput}
11730cfbc0SXuan Huimport xiangshan.{Redirect, XSBundle, XSModule}
12730cfbc0SXuan Hu
13730cfbc0SXuan Huclass ExeUnitIO(params: ExeUnitParams)(implicit p: Parameters) extends XSBundle {
14730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect()))
15730cfbc0SXuan Hu  val in = Flipped(DecoupledIO(new ExuInput(params)))
16730cfbc0SXuan Hu  val out = DecoupledIO(new ExuOutput(params))
17730cfbc0SXuan Hu  val csrio = if (params.hasCSR) Some(new CSRFileIO) else None
18730cfbc0SXuan Hu  val fenceio = if (params.hasFence) Some(new FenceIO) else None
19730cfbc0SXuan Hu  val frm = if (params.needSrcFrm) Some(Input(UInt(3.W))) else None
20730cfbc0SXuan Hu}
21730cfbc0SXuan Hu
22730cfbc0SXuan Huclass ExeUnit(exuParams: ExeUnitParams)(implicit p: Parameters) extends LazyModule {
23730cfbc0SXuan Hu  lazy val module = new ExeUnitImp(this)(p, exuParams)
24730cfbc0SXuan Hu}
25730cfbc0SXuan Hu
26730cfbc0SXuan Huclass ExeUnitImp(
27730cfbc0SXuan Hu  override val wrapper: ExeUnit
28730cfbc0SXuan Hu)(implicit
29730cfbc0SXuan Hu  p: Parameters, exuParams: ExeUnitParams
30730cfbc0SXuan Hu) extends LazyModuleImp(wrapper) {
31730cfbc0SXuan Hu  private val fuCfgs = exuParams.fuConfigs
32730cfbc0SXuan Hu
33730cfbc0SXuan Hu  val io = IO(new ExeUnitIO(exuParams))
34730cfbc0SXuan Hu
35730cfbc0SXuan Hu  val funcUnits = fuCfgs.map(cfg => {
36d91483a6Sfdy    assert(cfg.fuGen != null, cfg.name + "Cfg'fuGen is null !!!")
37730cfbc0SXuan Hu    val module = cfg.fuGen(p, cfg)
38730cfbc0SXuan Hu    module
39730cfbc0SXuan Hu  })
40730cfbc0SXuan Hu
41730cfbc0SXuan Hu  val busy = RegInit(false.B)
42730cfbc0SXuan Hu  val robIdx = RegEnable(io.in.bits.robIdx, io.in.fire)
43ab9180dfSfdy  when (io.in.fire && io.in.bits.robIdx.needFlush(io.flush)) {
44ab9180dfSfdy    busy := false.B
45ab9180dfSfdy  }.elsewhen(busy && robIdx.needFlush(io.flush)){
46730cfbc0SXuan Hu    busy := false.B
47730cfbc0SXuan Hu  }.elsewhen(io.out.fire) {
48730cfbc0SXuan Hu    busy := false.B
49730cfbc0SXuan Hu  }.elsewhen(io.in.fire) {
50730cfbc0SXuan Hu    busy := true.B
51730cfbc0SXuan Hu  }
52ea0f92d8Sczw  if(exuParams.latencyValMax.nonEmpty){
53ea0f92d8Sczw    busy := false.B
54ea0f92d8Sczw  }
55730cfbc0SXuan Hu
56730cfbc0SXuan Hu  // rob flush --> funcUnits
57730cfbc0SXuan Hu  funcUnits.zipWithIndex.foreach { case (fu, i) =>
58730cfbc0SXuan Hu    fu.io.flush <> io.flush
59730cfbc0SXuan Hu  }
60730cfbc0SXuan Hu
61730cfbc0SXuan Hu  def acceptCond(input: ExuInput): Seq[Bool] = {
62730cfbc0SXuan Hu    input.params.fuConfigs.map(_.fuSel(input))
63730cfbc0SXuan Hu  }
64730cfbc0SXuan Hu
65730cfbc0SXuan Hu  val in1ToN = Module(new Dispatcher(new ExuInput(exuParams), funcUnits.length, acceptCond))
66730cfbc0SXuan Hu
67730cfbc0SXuan Hu  // ExeUnit.in <---> Dispatcher.in
68ea0f92d8Sczw  in1ToN.io.in.valid := io.in.fire()
69730cfbc0SXuan Hu  in1ToN.io.in.bits := io.in.bits
70730cfbc0SXuan Hu  io.in.ready := !busy
71730cfbc0SXuan Hu
72730cfbc0SXuan Hu  // Dispatcher.out <---> FunctionUnits
73730cfbc0SXuan Hu  in1ToN.io.out.zip(funcUnits.map(_.io.in)).foreach {
74730cfbc0SXuan Hu    case (source: DecoupledIO[ExuInput], sink: DecoupledIO[FuncUnitInput]) =>
75730cfbc0SXuan Hu      sink.valid := source.valid
76730cfbc0SXuan Hu      source.ready := sink.ready
77730cfbc0SXuan Hu
786a35d972SXuan Hu      sink.bits.data.src.zip(source.bits.src).foreach { case(fuSrc, exuSrc) => fuSrc := exuSrc }
796a35d972SXuan Hu      sink.bits.data.pc          .foreach(x => x := source.bits.pc.get)
806a35d972SXuan Hu      sink.bits.data.imm         := source.bits.imm
816a35d972SXuan Hu      sink.bits.ctrl.fuOpType    := source.bits.fuOpType
826a35d972SXuan Hu      sink.bits.ctrl.robIdx      := source.bits.robIdx
836a35d972SXuan Hu      sink.bits.ctrl.pdest       := source.bits.pdest
846a35d972SXuan Hu      sink.bits.ctrl.rfWen       .foreach(x => x := source.bits.rfWen.get)
856a35d972SXuan Hu      sink.bits.ctrl.fpWen       .foreach(x => x := source.bits.fpWen.get)
866a35d972SXuan Hu      sink.bits.ctrl.vecWen      .foreach(x => x := source.bits.vecWen.get)
876a35d972SXuan Hu      sink.bits.ctrl.flushPipe   .foreach(x => x := source.bits.flushPipe.get)
886a35d972SXuan Hu      sink.bits.ctrl.preDecode   .foreach(x => x := source.bits.preDecode.get)
896a35d972SXuan Hu      sink.bits.ctrl.ftqIdx      .foreach(x => x := source.bits.ftqIdx.get)
906a35d972SXuan Hu      sink.bits.ctrl.ftqOffset   .foreach(x => x := source.bits.ftqOffset.get)
916a35d972SXuan Hu      sink.bits.ctrl.predictInfo .foreach(x => x := source.bits.predictInfo.get)
92b6b11f60SXuan Hu      sink.bits.ctrl.fpu         .foreach(x => x := source.bits.fpu.get)
93b6b11f60SXuan Hu      sink.bits.ctrl.vpu         .foreach(x => x := source.bits.vpu.get)
94730cfbc0SXuan Hu  }
95730cfbc0SXuan Hu
96730cfbc0SXuan Hu  private val fuOutValidOH = funcUnits.map(_.io.out.valid)
97ea0f92d8Sczw  XSError(PopCount(fuOutValidOH) > 1.U, p"fuOutValidOH ${Binary(VecInit(fuOutValidOH).asUInt)} should be one-hot)\n")
98730cfbc0SXuan Hu  private val fuOutBitsVec = funcUnits.map(_.io.out.bits)
996a35d972SXuan Hu  private val fuRedirectVec: Seq[Option[ValidIO[Redirect]]] = funcUnits.map(_.io.out.bits.res.redirect)
100730cfbc0SXuan Hu
101730cfbc0SXuan Hu  // Assume that one fu can only write int or fp or vec,
102730cfbc0SXuan Hu  // otherwise, wenVec should be assigned to wen in fu.
103b6b11f60SXuan Hu  private val fuIntWenVec = funcUnits.map(x => x.cfg.writeIntRf.B && x.io.out.bits.ctrl.rfWen.getOrElse(false.B))
104b6b11f60SXuan Hu  private val fuFpWenVec  = funcUnits.map(x => x.cfg.writeFpRf.B  && x.io.out.bits.ctrl.fpWen.getOrElse(false.B))
105b6b11f60SXuan Hu  private val fuVecWenVec = funcUnits.map(x => x.cfg.writeVecRf.B && x.io.out.bits.ctrl.vecWen.getOrElse(false.B))
106730cfbc0SXuan Hu  // FunctionUnits <---> ExeUnit.out
107730cfbc0SXuan Hu  io.out.valid := Cat(fuOutValidOH).orR
108730cfbc0SXuan Hu  funcUnits.foreach(fu => fu.io.out.ready := io.out.ready)
109730cfbc0SXuan Hu
110730cfbc0SXuan Hu  // select one fu's result
1116a35d972SXuan Hu  io.out.bits.data := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.res.data))
1126a35d972SXuan Hu  io.out.bits.robIdx := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.robIdx))
1136a35d972SXuan Hu  io.out.bits.pdest := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.pdest))
114730cfbc0SXuan Hu  io.out.bits.intWen.foreach(x => x := Mux1H(fuOutValidOH, fuIntWenVec))
115730cfbc0SXuan Hu  io.out.bits.fpWen.foreach(x => x := Mux1H(fuOutValidOH, fuFpWenVec))
116730cfbc0SXuan Hu  io.out.bits.vecWen.foreach(x => x := Mux1H(fuOutValidOH, fuVecWenVec))
117730cfbc0SXuan Hu  io.out.bits.redirect.foreach(x => x := Mux1H((fuOutValidOH zip fuRedirectVec).filter(_._2.isDefined).map(x => (x._1, x._2.get))))
1186a35d972SXuan Hu  io.out.bits.fflags.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.res.fflags.getOrElse(0.U.asTypeOf(io.out.bits.fflags.get)))))
119*01ceb97cSZiyue Zhang  io.out.bits.vxsat.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.res.vxsat.getOrElse(0.U.asTypeOf(io.out.bits.vxsat.get)))))
1206a35d972SXuan Hu  io.out.bits.exceptionVec.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.exceptionVec.getOrElse(0.U.asTypeOf(io.out.bits.exceptionVec.get)))))
1216a35d972SXuan Hu  io.out.bits.flushPipe.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.flushPipe.getOrElse(0.U.asTypeOf(io.out.bits.flushPipe.get)))))
1226a35d972SXuan Hu  io.out.bits.replay.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.replay.getOrElse(0.U.asTypeOf(io.out.bits.replay.get)))))
1236a35d972SXuan Hu  io.out.bits.predecodeInfo.foreach(x => x := Mux1H(fuOutValidOH, fuOutBitsVec.map(_.ctrl.preDecode.getOrElse(0.U.asTypeOf(io.out.bits.predecodeInfo.get)))))
124730cfbc0SXuan Hu
125dd6a851fSfdy  io.csrio.foreach(exuio => funcUnits.foreach(fu => fu.io.csrio.foreach{
126dd6a851fSfdy    fuio =>
127dd6a851fSfdy      exuio <> fuio
128dd6a851fSfdy      fuio.exception := DelayN(exuio.exception, 2)
129dd6a851fSfdy  }))
130730cfbc0SXuan Hu  io.fenceio.foreach(exuio => funcUnits.foreach(fu => fu.io.fenceio.foreach(fuio => fuio <> exuio)))
131730cfbc0SXuan Hu  io.frm.foreach(exuio => funcUnits.foreach(fu => fu.io.frm.foreach(fuio => fuio <> exuio)))
132730cfbc0SXuan Hu
133730cfbc0SXuan Hu  // debug info
134730cfbc0SXuan Hu  io.out.bits.debug     := 0.U.asTypeOf(io.out.bits.debug)
135730cfbc0SXuan Hu  io.out.bits.debugInfo := 0.U.asTypeOf(io.out.bits.debugInfo)
136730cfbc0SXuan Hu}
137730cfbc0SXuan Hu
138730cfbc0SXuan Huclass DispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle {
139730cfbc0SXuan Hu  val in = Flipped(DecoupledIO(gen))
140730cfbc0SXuan Hu
141730cfbc0SXuan Hu  val out = Vec(n, DecoupledIO(gen))
142730cfbc0SXuan Hu}
143730cfbc0SXuan Hu
144730cfbc0SXuan Huclass Dispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => Seq[Bool])
145730cfbc0SXuan Hu  (implicit p: Parameters)
146730cfbc0SXuan Hu  extends Module {
147730cfbc0SXuan Hu
148730cfbc0SXuan Hu  val io = IO(new DispatcherIO(gen, n))
149730cfbc0SXuan Hu
150730cfbc0SXuan Hu  private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits))
151730cfbc0SXuan Hu
152730cfbc0SXuan Hu  XSError(io.in.valid && PopCount(acceptVec) > 1.U, s"s[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ")
153730cfbc0SXuan Hu  XSError(io.in.valid && PopCount(acceptVec) === 0.U, "[ExeUnit] there is a inst not dispatched to any fu")
154730cfbc0SXuan Hu
155730cfbc0SXuan Hu  io.out.zipWithIndex.foreach { case (out, i) =>
156730cfbc0SXuan Hu    out.valid := acceptVec(i) && io.in.valid && out.ready
157730cfbc0SXuan Hu    out.bits := io.in.bits
158730cfbc0SXuan Hu  }
159730cfbc0SXuan Hu
160730cfbc0SXuan Hu  io.in.ready := Cat(io.out.map(_.ready)).orR
161730cfbc0SXuan Hu}
162730cfbc0SXuan Hu
163730cfbc0SXuan Huclass MemExeUnitIO (implicit p: Parameters) extends XSBundle {
164730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect()))
165730cfbc0SXuan Hu  val in = Flipped(DecoupledIO(new MemExuInput()))
166730cfbc0SXuan Hu  val out = DecoupledIO(new MemExuOutput())
167730cfbc0SXuan Hu}
168730cfbc0SXuan Hu
169730cfbc0SXuan Huclass MemExeUnit(exuParams: ExeUnitParams)(implicit p: Parameters) extends XSModule {
170730cfbc0SXuan Hu  val io = IO(new MemExeUnitIO)
171730cfbc0SXuan Hu  val fu = exuParams.fuConfigs.head.fuGen(p, exuParams.fuConfigs.head)
172730cfbc0SXuan Hu  fu.io.flush             := io.flush
173730cfbc0SXuan Hu  fu.io.in.valid          := io.in.valid
174730cfbc0SXuan Hu  io.in.ready             := fu.io.in.ready
175730cfbc0SXuan Hu
1766a35d972SXuan Hu  fu.io.in.bits.ctrl.robIdx    := io.in.bits.uop.robIdx
1776a35d972SXuan Hu  fu.io.in.bits.ctrl.pdest     := io.in.bits.uop.pdest
1786a35d972SXuan Hu  fu.io.in.bits.ctrl.fuOpType  := io.in.bits.uop.fuOpType
1796a35d972SXuan Hu  fu.io.in.bits.data.imm       := io.in.bits.uop.imm
1806a35d972SXuan Hu  fu.io.in.bits.data.src.zip(io.in.bits.src).foreach(x => x._1 := x._2)
181730cfbc0SXuan Hu
182730cfbc0SXuan Hu  io.out.valid            := fu.io.out.valid
183730cfbc0SXuan Hu  fu.io.out.ready         := io.out.ready
184730cfbc0SXuan Hu
185730cfbc0SXuan Hu  io.out.bits             := 0.U.asTypeOf(io.out.bits) // dontCare other fields
1866a35d972SXuan Hu  io.out.bits.data        := fu.io.out.bits.res.data
1876a35d972SXuan Hu  io.out.bits.uop.robIdx  := fu.io.out.bits.ctrl.robIdx
1886a35d972SXuan Hu  io.out.bits.uop.pdest   := fu.io.out.bits.ctrl.pdest
189730cfbc0SXuan Hu  io.out.bits.uop.fuType  := io.in.bits.uop.fuType
190730cfbc0SXuan Hu  io.out.bits.uop.fuOpType:= io.in.bits.uop.fuOpType
191730cfbc0SXuan Hu  io.out.bits.uop.sqIdx   := io.in.bits.uop.sqIdx
192730cfbc0SXuan Hu
193730cfbc0SXuan Hu  io.out.bits.debug       := 0.U.asTypeOf(io.out.bits.debug)
194730cfbc0SXuan Hu}
195