xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rob.scala (revision 4c7680e068fa5d78388788d8bcc46893b51f56bb)
19aca92b9SYinan Xu/***************************************************************************************
29aca92b9SYinan Xu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
39aca92b9SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
49aca92b9SYinan Xu*
59aca92b9SYinan Xu* XiangShan is licensed under Mulan PSL v2.
69aca92b9SYinan Xu* You can use this software according to the terms and conditions of the Mulan PSL v2.
79aca92b9SYinan Xu* You may obtain a copy of Mulan PSL v2 at:
89aca92b9SYinan Xu*          http://license.coscl.org.cn/MulanPSL2
99aca92b9SYinan Xu*
109aca92b9SYinan Xu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
119aca92b9SYinan Xu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
129aca92b9SYinan Xu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
139aca92b9SYinan Xu*
149aca92b9SYinan Xu* See the Mulan PSL v2 for more details.
159aca92b9SYinan Xu***************************************************************************************/
169aca92b9SYinan Xu
179aca92b9SYinan Xupackage xiangshan.backend.rob
189aca92b9SYinan Xu
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
209aca92b9SYinan Xuimport chisel3._
219aca92b9SYinan Xuimport chisel3.util._
229aca92b9SYinan Xuimport difftest._
236ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
243c02ee8fSwakafaimport utility._
253b739f49SXuan Huimport utils._
266ab6918fSYinan Xuimport xiangshan._
27730cfbc0SXuan Huimport xiangshan.backend.BackendParams
28d91483a6Sfdyimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
29*4c7680e0SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType}
306ab6918fSYinan Xuimport xiangshan.frontend.FtqPtr
31870f462dSXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
32730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput}
33870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo}
34*4c7680e0SXuan Huimport xiangshan.backend.fu.vector.Bundles.VType
35870f462dSXuan Huimport xiangshan.backend.rename.SnapshotGenerator
369aca92b9SYinan Xu
37d2b20d1aSTang Haojin
383b739f49SXuan Huclass RobPtr(entries: Int) extends CircularQueuePtr[RobPtr](
393b739f49SXuan Hu  entries
409aca92b9SYinan Xu) with HasCircularQueuePtrHelper {
419aca92b9SYinan Xu
423b739f49SXuan Hu  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize)
433b739f49SXuan Hu
44f4b2089aSYinan Xu  def needFlush(redirect: Valid[Redirect]): Bool = {
459aca92b9SYinan Xu    val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx
46f4b2089aSYinan Xu    redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx))
479aca92b9SYinan Xu  }
489aca92b9SYinan Xu
490dc4893dSYinan Xu  def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR
509aca92b9SYinan Xu}
519aca92b9SYinan Xu
529aca92b9SYinan Xuobject RobPtr {
539aca92b9SYinan Xu  def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = {
549aca92b9SYinan Xu    val ptr = Wire(new RobPtr)
559aca92b9SYinan Xu    ptr.flag := f
569aca92b9SYinan Xu    ptr.value := v
579aca92b9SYinan Xu    ptr
589aca92b9SYinan Xu  }
599aca92b9SYinan Xu}
609aca92b9SYinan Xu
619aca92b9SYinan Xuclass RobCSRIO(implicit p: Parameters) extends XSBundle {
629aca92b9SYinan Xu  val intrBitSet = Input(Bool())
639aca92b9SYinan Xu  val trapTarget = Input(UInt(VAddrBits.W))
649aca92b9SYinan Xu  val isXRet     = Input(Bool())
655c95ea2eSYinan Xu  val wfiEvent   = Input(Bool())
669aca92b9SYinan Xu
679aca92b9SYinan Xu  val fflags     = Output(Valid(UInt(5.W)))
68a8db15d8Sfdy  val vxsat      = Output(Valid(Bool()))
69e703da02SzhanglyGit  val vstart     = Output(Valid(UInt(XLEN.W)))
709aca92b9SYinan Xu  val dirty_fs   = Output(Bool())
719aca92b9SYinan Xu  val perfinfo   = new Bundle {
729aca92b9SYinan Xu    val retiredInstr = Output(UInt(3.W))
739aca92b9SYinan Xu  }
744aa9ed34Sfdy
754aa9ed34Sfdy  val vcsrFlag   = Output(Bool())
769aca92b9SYinan Xu}
779aca92b9SYinan Xu
789aca92b9SYinan Xuclass RobLsqIO(implicit p: Parameters) extends XSBundle {
79cd365d4cSrvcoresjw  val lcommit = Output(UInt(log2Up(CommitWidth + 1).W))
80cd365d4cSrvcoresjw  val scommit = Output(UInt(log2Up(CommitWidth + 1).W))
819aca92b9SYinan Xu  val pendingld = Output(Bool())
829aca92b9SYinan Xu  val pendingst = Output(Bool())
839aca92b9SYinan Xu  val commit = Output(Bool())
84e4f69d78Ssfencevma  val pendingPtr = Output(new RobPtr)
8520a5248fSzhanglinjuan  val pendingPtrNext = Output(new RobPtr)
86e4f69d78Ssfencevma
87e4f69d78Ssfencevma  val mmio = Input(Vec(LoadPipelineWidth, Bool()))
886ce10964SXuan Hu  // Todo: what's this?
89dfb4c5dcSXuan Hu  val uop = Input(Vec(LoadPipelineWidth, new DynInst))
909aca92b9SYinan Xu}
919aca92b9SYinan Xu
929aca92b9SYinan Xuclass RobEnqIO(implicit p: Parameters) extends XSBundle {
939aca92b9SYinan Xu  val canAccept = Output(Bool())
949aca92b9SYinan Xu  val isEmpty = Output(Bool())
959aca92b9SYinan Xu  // valid vector, for robIdx gen and walk
969aca92b9SYinan Xu  val needAlloc = Vec(RenameWidth, Input(Bool()))
973b739f49SXuan Hu  val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst)))
989aca92b9SYinan Xu  val resp = Vec(RenameWidth, Output(new RobPtr))
999aca92b9SYinan Xu}
1009aca92b9SYinan Xu
10160ebee38STang Haojinclass RobCoreTopDownIO(implicit p: Parameters) extends XSBundle {
10260ebee38STang Haojin  val robHeadVaddr = Valid(UInt(VAddrBits.W))
10360ebee38STang Haojin  val robHeadPaddr = Valid(UInt(PAddrBits.W))
10460ebee38STang Haojin}
10560ebee38STang Haojin
10660ebee38STang Haojinclass RobDispatchTopDownIO extends Bundle {
10760ebee38STang Haojin  val robTrueCommit = Output(UInt(64.W))
10860ebee38STang Haojin  val robHeadLsIssue = Output(Bool())
10960ebee38STang Haojin}
11060ebee38STang Haojin
1117cf78eb2Shappy-lxclass RobDebugRollingIO extends Bundle {
1127cf78eb2Shappy-lx  val robTrueCommit = Output(UInt(64.W))
1137cf78eb2Shappy-lx}
1147cf78eb2Shappy-lx
11544369838SXuan Huclass RobDispatchData(implicit p: Parameters) extends RobCommitInfo {}
1169aca92b9SYinan Xu
1179aca92b9SYinan Xuclass RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
1189aca92b9SYinan Xu  val io = IO(new Bundle {
1199aca92b9SYinan Xu    // for commits/flush
1209aca92b9SYinan Xu    val state = Input(UInt(2.W))
1219aca92b9SYinan Xu    val deq_v = Vec(CommitWidth, Input(Bool()))
1229aca92b9SYinan Xu    val deq_w = Vec(CommitWidth, Input(Bool()))
1239aca92b9SYinan Xu    val exception_state = Flipped(ValidIO(new RobExceptionInfo))
1249aca92b9SYinan Xu    // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth)
1259aca92b9SYinan Xu    val intrBitSetReg = Input(Bool())
1269aca92b9SYinan Xu    val hasNoSpecExec = Input(Bool())
127e8009193SYinan Xu    val interrupt_safe = Input(Bool())
1286474c47fSYinan Xu    val blockCommit = Input(Bool())
1299aca92b9SYinan Xu    // output: the CommitWidth deqPtr
1309aca92b9SYinan Xu    val out = Vec(CommitWidth, Output(new RobPtr))
1319aca92b9SYinan Xu    val next_out = Vec(CommitWidth, Output(new RobPtr))
1329aca92b9SYinan Xu  })
1339aca92b9SYinan Xu
1349aca92b9SYinan Xu  val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr))))
1359aca92b9SYinan Xu
1369aca92b9SYinan Xu  // for exceptions (flushPipe included) and interrupts:
1379aca92b9SYinan Xu  // only consider the first instruction
1385c95ea2eSYinan Xu  val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe
139983f3e23SYinan Xu  val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0)
1409aca92b9SYinan Xu  val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable)
1419aca92b9SYinan Xu
1429aca92b9SYinan Xu  // for normal commits: only to consider when there're no exceptions
1439aca92b9SYinan Xu  // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions.
1449aca92b9SYinan Xu  val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last)
1456474c47fSYinan Xu  val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i)))
1469aca92b9SYinan Xu  val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B)
147f4b2089aSYinan Xu  // when io.intrBitSetReg or there're possible exceptions in these instructions,
148f4b2089aSYinan Xu  // only one instruction is allowed to commit
1499aca92b9SYinan Xu  val allowOnlyOne = commit_exception || io.intrBitSetReg
1509aca92b9SYinan Xu  val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt)
1519aca92b9SYinan Xu
1529aca92b9SYinan Xu  val commitDeqPtrVec = VecInit(deqPtrVec.map(_ + commitCnt))
1536474c47fSYinan Xu  val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec)
1549aca92b9SYinan Xu
1559aca92b9SYinan Xu  deqPtrVec := deqPtrVec_next
1569aca92b9SYinan Xu
1579aca92b9SYinan Xu  io.next_out := deqPtrVec_next
1589aca92b9SYinan Xu  io.out      := deqPtrVec
1599aca92b9SYinan Xu
1609aca92b9SYinan Xu  when (io.state === 0.U) {
1619aca92b9SYinan Xu    XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt)
1629aca92b9SYinan Xu  }
1639aca92b9SYinan Xu
1649aca92b9SYinan Xu}
1659aca92b9SYinan Xu
1669aca92b9SYinan Xuclass RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
1679aca92b9SYinan Xu  val io = IO(new Bundle {
1689aca92b9SYinan Xu    // for input redirect
1699aca92b9SYinan Xu    val redirect = Input(Valid(new Redirect))
1709aca92b9SYinan Xu    // for enqueue
1719aca92b9SYinan Xu    val allowEnqueue = Input(Bool())
1729aca92b9SYinan Xu    val hasBlockBackward = Input(Bool())
1739aca92b9SYinan Xu    val enq = Vec(RenameWidth, Input(Bool()))
1746474c47fSYinan Xu    val out = Output(Vec(RenameWidth, new RobPtr))
1759aca92b9SYinan Xu  })
1769aca92b9SYinan Xu
1776474c47fSYinan Xu  val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr)))
1789aca92b9SYinan Xu
1799aca92b9SYinan Xu  // enqueue
1809aca92b9SYinan Xu  val canAccept = io.allowEnqueue && !io.hasBlockBackward
181f4b2089aSYinan Xu  val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U)
1829aca92b9SYinan Xu
1836474c47fSYinan Xu  for ((ptr, i) <- enqPtrVec.zipWithIndex) {
184f4b2089aSYinan Xu    when(io.redirect.valid) {
1856474c47fSYinan Xu      ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
1869aca92b9SYinan Xu    }.otherwise {
1876474c47fSYinan Xu      ptr := ptr + dispatchNum
1886474c47fSYinan Xu    }
1899aca92b9SYinan Xu  }
1909aca92b9SYinan Xu
1916474c47fSYinan Xu  io.out := enqPtrVec
1929aca92b9SYinan Xu
1939aca92b9SYinan Xu}
1949aca92b9SYinan Xu
1959aca92b9SYinan Xuclass RobExceptionInfo(implicit p: Parameters) extends XSBundle {
1969aca92b9SYinan Xu  // val valid = Bool()
1979aca92b9SYinan Xu  val robIdx = new RobPtr
1989aca92b9SYinan Xu  val exceptionVec = ExceptionVec()
1999aca92b9SYinan Xu  val flushPipe = Bool()
2004aa9ed34Sfdy  val isVset = Bool()
2019aca92b9SYinan Xu  val replayInst = Bool() // redirect to that inst itself
20284e47f35SLi Qianruo  val singleStep = Bool() // TODO add frontend hit beneath
203c3abb8b6SYinan Xu  val crossPageIPFFix = Bool()
20472951335SLi Qianruo  val trigger = new TriggerCf
205e703da02SzhanglyGit  val vstartEn = Bool()
206e703da02SzhanglyGit  val vstart = UInt(XLEN.W)
2079aca92b9SYinan Xu
208f7af4c74Schengguanghui  def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.canFire
209f7af4c74Schengguanghui  def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.canFire
2109aca92b9SYinan Xu  // only exceptions are allowed to writeback when enqueue
211f7af4c74Schengguanghui  def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.canFire
2129aca92b9SYinan Xu}
2139aca92b9SYinan Xu
2143b739f49SXuan Huclass ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
2159aca92b9SYinan Xu  val io = IO(new Bundle {
2169aca92b9SYinan Xu    val redirect = Input(Valid(new Redirect))
2179aca92b9SYinan Xu    val flush = Input(Bool())
2189aca92b9SYinan Xu    val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo)))
219e703da02SzhanglyGit    // csr + load + store + varith + vload + vstore
2203b739f49SXuan Hu    val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo)))
2219aca92b9SYinan Xu    val out = ValidIO(new RobExceptionInfo)
2229aca92b9SYinan Xu    val state = ValidIO(new RobExceptionInfo)
2239aca92b9SYinan Xu  })
2249aca92b9SYinan Xu
22599bd2aafSHaojin Tang  val wbExuParams = params.allExuParams.filter(_.exceptionOut.nonEmpty)
22699bd2aafSHaojin Tang
22799bd2aafSHaojin Tang  def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): RobExceptionInfo = {
22899bd2aafSHaojin Tang    def getOldest_recursion(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = {
22946f74b57SHaojin Tang      assert(valid.length == bits.length)
23046f74b57SHaojin Tang      if (valid.length == 1) {
23146f74b57SHaojin Tang        (valid, bits)
23246f74b57SHaojin Tang      } else if (valid.length == 2) {
23346f74b57SHaojin Tang        val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0)))))
23446f74b57SHaojin Tang        for (i <- res.indices) {
23546f74b57SHaojin Tang          res(i).valid := valid(i)
23646f74b57SHaojin Tang          res(i).bits := bits(i)
23746f74b57SHaojin Tang        }
23846f74b57SHaojin Tang        val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1))
23946f74b57SHaojin Tang        (Seq(oldest.valid), Seq(oldest.bits))
24046f74b57SHaojin Tang      } else {
24199bd2aafSHaojin Tang        val left = getOldest_recursion(valid.take(valid.length / 2), bits.take(valid.length / 2))
24299bd2aafSHaojin Tang        val right = getOldest_recursion(valid.drop(valid.length / 2), bits.drop(valid.length / 2))
24399bd2aafSHaojin Tang        getOldest_recursion(left._1 ++ right._1, left._2 ++ right._2)
24446f74b57SHaojin Tang      }
24546f74b57SHaojin Tang    }
24699bd2aafSHaojin Tang    getOldest_recursion(valid, bits)._2.head
24799bd2aafSHaojin Tang  }
24899bd2aafSHaojin Tang
24946f74b57SHaojin Tang
25067ba96b4SYinan Xu  val currentValid = RegInit(false.B)
25167ba96b4SYinan Xu  val current = Reg(new RobExceptionInfo)
2529aca92b9SYinan Xu
2539aca92b9SYinan Xu  // orR the exceptionVec
2549aca92b9SYinan Xu  val lastCycleFlush = RegNext(io.flush)
2559aca92b9SYinan Xu  val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush))
2569aca92b9SYinan Xu
257e703da02SzhanglyGit  // s0: compare wb in 6 groups
258e703da02SzhanglyGit  val csr_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isCsr).nonEmpty).map(_._1)
25999bd2aafSHaojin Tang  val load_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.ldu).nonEmpty).map(_._1)
26099bd2aafSHaojin Tang  val store_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isSta || t.fuType == FuType.mou).nonEmpty).map(_._1)
26199bd2aafSHaojin Tang  val varith_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.isVecArith).nonEmpty).map(_._1)
262e703da02SzhanglyGit  val vload_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vldu).nonEmpty).map(_._1)
263e703da02SzhanglyGit  val vstore_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.vstu).nonEmpty).map(_._1)
2649aca92b9SYinan Xu
265e703da02SzhanglyGit  val writebacks = Seq(csr_wb, load_wb, store_wb, varith_wb, vload_wb, vstore_wb)
26699bd2aafSHaojin Tang  val in_wb_valids = writebacks.map(_.map(w => w.valid && w.bits.has_exception && !lastCycleFlush))
26799bd2aafSHaojin Tang  val wb_valid = in_wb_valids.zip(writebacks).map { case (valid, wb) =>
26899bd2aafSHaojin Tang    valid.zip(wb.map(_.bits)).map { case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) }.reduce(_ || _)
26999bd2aafSHaojin Tang  }
27099bd2aafSHaojin Tang  val wb_bits = in_wb_valids.zip(writebacks).map { case (valid, wb) => getOldest(valid, wb.map(_.bits))}
27199bd2aafSHaojin Tang
27299bd2aafSHaojin Tang  val s0_out_valid = wb_valid.map(x => RegNext(x))
2733827c997SsinceforYy  val s0_out_bits = wb_bits.zip(wb_valid).map{ case(b, v) => RegEnable(b, v)}
27499bd2aafSHaojin Tang
275e703da02SzhanglyGit  // s1: compare last six and current flush
27699bd2aafSHaojin Tang  val s1_valid = VecInit(s0_out_valid.zip(s0_out_bits).map{ case (v, b) => v && !(b.robIdx.needFlush(io.redirect) || io.flush) })
2773827c997SsinceforYy  val s1_out_bits = RegEnable(getOldest(s0_out_valid, s0_out_bits), s1_valid.asUInt.orR)
27899bd2aafSHaojin Tang  val s1_out_valid = RegNext(s1_valid.asUInt.orR)
2799aca92b9SYinan Xu
2809aca92b9SYinan Xu  val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush)
2813827c997SsinceforYy  val enq_bits = RegEnable(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits)), in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush)
2829aca92b9SYinan Xu
2839aca92b9SYinan Xu  // s2: compare the input exception with the current one
2849aca92b9SYinan Xu  // priorities:
2859aca92b9SYinan Xu  // (1) system reset
2869aca92b9SYinan Xu  // (2) current is valid: flush, remain, merge, update
2879aca92b9SYinan Xu  // (3) current is not valid: s1 or enq
28867ba96b4SYinan Xu  val current_flush = current.robIdx.needFlush(io.redirect) || io.flush
289f4b2089aSYinan Xu  val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush
29067ba96b4SYinan Xu  when (currentValid) {
2919aca92b9SYinan Xu    when (current_flush) {
29267ba96b4SYinan Xu      currentValid := Mux(s1_flush, false.B, s1_out_valid)
2939aca92b9SYinan Xu    }
2949aca92b9SYinan Xu    when (s1_out_valid && !s1_flush) {
29567ba96b4SYinan Xu      when (isAfter(current.robIdx, s1_out_bits.robIdx)) {
29667ba96b4SYinan Xu        current := s1_out_bits
29767ba96b4SYinan Xu      }.elsewhen (current.robIdx === s1_out_bits.robIdx) {
29867ba96b4SYinan Xu        current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec())
29967ba96b4SYinan Xu        current.flushPipe := s1_out_bits.flushPipe || current.flushPipe
30067ba96b4SYinan Xu        current.replayInst := s1_out_bits.replayInst || current.replayInst
30167ba96b4SYinan Xu        current.singleStep := s1_out_bits.singleStep || current.singleStep
30267ba96b4SYinan Xu        current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf)
3039aca92b9SYinan Xu      }
3049aca92b9SYinan Xu    }
3059aca92b9SYinan Xu  }.elsewhen (s1_out_valid && !s1_flush) {
30667ba96b4SYinan Xu    currentValid := true.B
30767ba96b4SYinan Xu    current := s1_out_bits
3089aca92b9SYinan Xu  }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) {
30967ba96b4SYinan Xu    currentValid := true.B
31067ba96b4SYinan Xu    current := enq_bits
3119aca92b9SYinan Xu  }
3129aca92b9SYinan Xu
3139aca92b9SYinan Xu  io.out.valid   := s1_out_valid || enq_valid && enq_bits.can_writeback
3149aca92b9SYinan Xu  io.out.bits    := Mux(s1_out_valid, s1_out_bits, enq_bits)
31567ba96b4SYinan Xu  io.state.valid := currentValid
31667ba96b4SYinan Xu  io.state.bits  := current
3179aca92b9SYinan Xu
3189aca92b9SYinan Xu}
3199aca92b9SYinan Xu
3209aca92b9SYinan Xuclass RobFlushInfo(implicit p: Parameters) extends XSBundle {
3219aca92b9SYinan Xu  val ftqIdx = new FtqPtr
322f4b2089aSYinan Xu  val robIdx = new RobPtr
3239aca92b9SYinan Xu  val ftqOffset = UInt(log2Up(PredictWidth).W)
3249aca92b9SYinan Xu  val replayInst = Bool()
3259aca92b9SYinan Xu}
3269aca92b9SYinan Xu
3273b739f49SXuan Huclass Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
32895e60e55STang Haojin  override def shouldBeInlined: Boolean = false
3296ab6918fSYinan Xu
3303b739f49SXuan Hu  lazy val module = new RobImp(this)(p, params)
3316ab6918fSYinan Xu}
3326ab6918fSYinan Xu
3333b739f49SXuan Huclass RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper)
3341ca0e4f3SYinan Xu  with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents {
3356ab6918fSYinan Xu
336870f462dSXuan Hu  private val LduCnt = params.LduCnt
337870f462dSXuan Hu  private val StaCnt = params.StaCnt
3386810d1e8Ssfencevma  private val HyuCnt = params.HyuCnt
339870f462dSXuan Hu
3409aca92b9SYinan Xu  val io = IO(new Bundle() {
3415668a921SJiawei Lin    val hartId = Input(UInt(8.W))
3429aca92b9SYinan Xu    val redirect = Input(Valid(new Redirect))
3439aca92b9SYinan Xu    val enq = new RobEnqIO
344f4b2089aSYinan Xu    val flushOut = ValidIO(new Redirect)
3459aca92b9SYinan Xu    val exception = ValidIO(new ExceptionInfo)
3469aca92b9SYinan Xu    // exu + brq
3473b739f49SXuan Hu    val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles)
348ccfddc82SHaojin Tang    val commits = Output(new RobCommitIO)
349a8db15d8Sfdy    val rabCommits = Output(new RobCommitIO)
350a8db15d8Sfdy    val diffCommits = Output(new DiffCommitIO)
351a8db15d8Sfdy    val isVsetFlushPipe = Output(Bool())
352a8db15d8Sfdy    val vconfigPdest = Output(UInt(PhyRegIdxWidth.W))
3539aca92b9SYinan Xu    val lsq = new RobLsqIO
3549aca92b9SYinan Xu    val robDeqPtr = Output(new RobPtr)
3559aca92b9SYinan Xu    val csr = new RobCSRIO
356fa7f2c26STang Haojin    val snpt = Input(new SnapshotPort)
3579aca92b9SYinan Xu    val robFull = Output(Bool())
358d2b20d1aSTang Haojin    val headNotReady = Output(Bool())
359b6900d94SYinan Xu    val cpu_halt = Output(Bool())
36009309bdbSYinan Xu    val wfi_enable = Input(Bool())
361*4c7680e0SXuan Hu    val toDecode = new Bundle {
362*4c7680e0SXuan Hu      val vtype = ValidIO(VType())
363*4c7680e0SXuan Hu    }
36460ebee38STang Haojin
3658744445eSMaxpicca-Li    val debug_ls = Flipped(new DebugLSIO)
366870f462dSXuan Hu    val debugRobHead = Output(new DynInst)
367d2b20d1aSTang Haojin    val debugEnqLsq = Input(new LsqEnqIO)
368d2b20d1aSTang Haojin    val debugHeadLsIssue = Input(Bool())
3696810d1e8Ssfencevma    val lsTopdownInfo = Vec(LduCnt + HyuCnt, Input(new LsTopdownInfo))
37060ebee38STang Haojin    val debugTopDown = new Bundle {
37160ebee38STang Haojin      val toCore = new RobCoreTopDownIO
37260ebee38STang Haojin      val toDispatch = new RobDispatchTopDownIO
37360ebee38STang Haojin      val robHeadLqIdx = Valid(new LqPtr)
37460ebee38STang Haojin    }
3757cf78eb2Shappy-lx    val debugRolling = new RobDebugRollingIO
3769aca92b9SYinan Xu  })
3779aca92b9SYinan Xu
37883ba63b3SXuan Hu  val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu).toSeq
37983ba63b3SXuan Hu  val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu).toSeq
3803b739f49SXuan Hu  val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty)
3813b739f49SXuan Hu  val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
3823b739f49SXuan Hu  val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty)
3833b739f49SXuan Hu
3843b739f49SXuan Hu  val exuWbPorts = io.writeback.filter(!_.bits.params.hasStdFu)
3853b739f49SXuan Hu  val stdWbPorts = io.writeback.filter(_.bits.params.hasStdFu)
3863b739f49SXuan Hu  val fflagsPorts = io.writeback.filter(x => x.bits.fflags.nonEmpty)
387a8db15d8Sfdy  val vxsatPorts = io.writeback.filter(x => x.bits.vxsat.nonEmpty)
3883b739f49SXuan Hu  val exceptionPorts = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty)
3893b739f49SXuan Hu  val numExuWbPorts = exuWBs.length
3903b739f49SXuan Hu  val numStdWbPorts = stdWBs.length
3916ab6918fSYinan Xu
3926ab6918fSYinan Xu
3933b739f49SXuan Hu  println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth")
3943b739f49SXuan Hu//  println(s"exuPorts: ${exuWbPorts.map(_._1.map(_.name))}")
3953b739f49SXuan Hu//  println(s"stdPorts: ${stdWbPorts.map(_._1.map(_.name))}")
3963b739f49SXuan Hu//  println(s"fflags: ${fflagsPorts.map(_._1.map(_.name))}")
3973b739f49SXuan Hu
3989aca92b9SYinan Xu
3999aca92b9SYinan Xu  // instvalid field
40043bdc4d9SYinan Xu  val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
4019aca92b9SYinan Xu  // writeback status
402a8db15d8Sfdy
403f1e8fcb2SXuan Hu  val stdWritebacked = Reg(Vec(RobSize, Bool()))
404f7af4c74Schengguanghui  val commitTrigger = Mem(RobSize, Bool())
405f1e8fcb2SXuan Hu  val uopNumVec          = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
406a8db15d8Sfdy  val realDestSize       = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W))))
407a8db15d8Sfdy  val fflagsDataModule   = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W))))
408a8db15d8Sfdy  val vxsatDataModule    = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
409a8db15d8Sfdy
410a8db15d8Sfdy  def isWritebacked(ptr: UInt): Bool = {
411f1e8fcb2SXuan Hu    !uopNumVec(ptr).orR && stdWritebacked(ptr)
412a8db15d8Sfdy  }
413a8db15d8Sfdy
414af4bdb08SXuan Hu  def isUopWritebacked(ptr: UInt): Bool = {
415af4bdb08SXuan Hu    !uopNumVec(ptr).orR
416af4bdb08SXuan Hu  }
417af4bdb08SXuan Hu
418e4f69d78Ssfencevma  val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B)))
41968d13085SXuan Hu
4209aca92b9SYinan Xu  // data for redirect, exception, etc.
4219aca92b9SYinan Xu  val flagBkup = Mem(RobSize, Bool())
422e8009193SYinan Xu  // some instructions are not allowed to trigger interrupts
423e8009193SYinan Xu  // They have side effects on the states of the processor before they write back
424f7af4c74Schengguanghui  val interrupt_safe = RegInit(VecInit(Seq.fill(RobSize)(true.B)))
4259aca92b9SYinan Xu
4269aca92b9SYinan Xu  // data for debug
4279aca92b9SYinan Xu  // Warn: debug_* prefix should not exist in generated verilog.
428c7d010e5SXuan Hu  val debug_microOp = DebugMem(RobSize, new DynInst)
4299aca92b9SYinan Xu  val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug
4309aca92b9SYinan Xu  val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug
4318744445eSMaxpicca-Li  val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init)))
432d2b20d1aSTang Haojin  val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init)))
433d2b20d1aSTang Haojin  val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B))
434d2b20d1aSTang Haojin  val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B))
4359aca92b9SYinan Xu
4369aca92b9SYinan Xu  // pointers
4379aca92b9SYinan Xu  // For enqueue ptr, we don't duplicate it since only enqueue needs it.
4386474c47fSYinan Xu  val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr))
4399aca92b9SYinan Xu  val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr))
4409aca92b9SYinan Xu
4416ce10964SXuan Hu  dontTouch(enqPtrVec)
4426ce10964SXuan Hu  dontTouch(deqPtrVec)
4436ce10964SXuan Hu
4449aca92b9SYinan Xu  val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr))
445dcf3a679STang Haojin  val lastWalkPtr = Reg(new RobPtr)
4469aca92b9SYinan Xu  val allowEnqueue = RegInit(true.B)
4479aca92b9SYinan Xu
4486474c47fSYinan Xu  val enqPtr = enqPtrVec.head
4499aca92b9SYinan Xu  val deqPtr = deqPtrVec(0)
4509aca92b9SYinan Xu  val walkPtr = walkPtrVec(0)
4519aca92b9SYinan Xu
4529aca92b9SYinan Xu  val isEmpty = enqPtr === deqPtr
4539aca92b9SYinan Xu  val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level)
4549aca92b9SYinan Xu
4559faa51afSxiaofeibao-xjtu  val snptEnq = io.enq.canAccept && io.enq.req.map(x => x.valid && x.bits.snapshot).reduce(_ || _)
4569faa51afSxiaofeibao-xjtu  val snapshotPtrVec = Wire(Vec(RenameWidth, new RobPtr))
4579faa51afSxiaofeibao-xjtu  snapshotPtrVec(0) := io.enq.req(0).bits.robIdx
4589faa51afSxiaofeibao-xjtu  for (i <- 1 until RenameWidth) {
4599faa51afSxiaofeibao-xjtu    snapshotPtrVec(i) := snapshotPtrVec(0) + i.U
4609faa51afSxiaofeibao-xjtu  }
4619faa51afSxiaofeibao-xjtu  val snapshots = SnapshotGenerator(snapshotPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec)
462d2b20d1aSTang Haojin  val debug_lsIssue = WireDefault(debug_lsIssued)
463d2b20d1aSTang Haojin  debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue
464d2b20d1aSTang Haojin
4659aca92b9SYinan Xu  /**
4669aca92b9SYinan Xu    * states of Rob
4679aca92b9SYinan Xu    */
468ccfddc82SHaojin Tang  val s_idle :: s_walk :: Nil = Enum(2)
4699aca92b9SYinan Xu  val state = RegInit(s_idle)
4709aca92b9SYinan Xu
4719aca92b9SYinan Xu  /**
4729aca92b9SYinan Xu    * Data Modules
4739aca92b9SYinan Xu    *
4749aca92b9SYinan Xu    * CommitDataModule: data from dispatch
4759aca92b9SYinan Xu    * (1) read: commits/walk/exception
4769aca92b9SYinan Xu    * (2) write: enqueue
4779aca92b9SYinan Xu    *
4789aca92b9SYinan Xu    * WritebackData: data from writeback
4799aca92b9SYinan Xu    * (1) read: commits/walk/exception
4809aca92b9SYinan Xu    * (2) write: write back from exe units
4819aca92b9SYinan Xu    */
48244369838SXuan Hu  val dispatchData = Module(new SyncDataModuleTemplate(new RobDispatchData, RobSize, CommitWidth, RenameWidth))
4839aca92b9SYinan Xu  val dispatchDataRead = dispatchData.io.rdata
4849aca92b9SYinan Xu
4853b739f49SXuan Hu  val exceptionGen = Module(new ExceptionGen(params))
4869aca92b9SYinan Xu  val exceptionDataRead = exceptionGen.io.state
4879aca92b9SYinan Xu  val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W)))
488a8db15d8Sfdy  val vxsatDataRead = Wire(Vec(CommitWidth, Bool()))
4899aca92b9SYinan Xu
4909aca92b9SYinan Xu  io.robDeqPtr := deqPtr
491d2b20d1aSTang Haojin  io.debugRobHead := debug_microOp(deqPtr.value)
4929aca92b9SYinan Xu
493a8db15d8Sfdy  val rab = Module(new RenameBuffer(RabSize))
494*4c7680e0SXuan Hu  val vtypeBuffer = Module(new VTypeBuffer(VTypeBufferSize))
49544369838SXuan Hu
496*4c7680e0SXuan Hu  /**
497*4c7680e0SXuan Hu   * connection of [[rab]]
498*4c7680e0SXuan Hu   */
49944369838SXuan Hu  rab.io.redirect.valid := io.redirect.valid
50044369838SXuan Hu
501a8db15d8Sfdy  rab.io.req.zip(io.enq.req).map { case (dest, src) =>
502a8db15d8Sfdy    dest.bits := src.bits
503a8db15d8Sfdy    dest.valid := src.valid && io.enq.canAccept
504a8db15d8Sfdy  }
505a8db15d8Sfdy
50644369838SXuan Hu  val commitDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(deqPtrVec(i).value))
50744369838SXuan Hu  val walkDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(walkPtrVec(i).value))
50844369838SXuan Hu
50944369838SXuan Hu  val commitSizeSum = io.commits.commitValid.zip(commitDestSizeSeq).map { case (commitValid, destSize) =>
51044369838SXuan Hu    Mux(io.commits.isCommit && commitValid, destSize, 0.U)
51144369838SXuan Hu  }.reduce(_ +& _)
51244369838SXuan Hu  val walkSizeSum = io.commits.walkValid.zip(walkDestSizeSeq).map { case (walkValid, destSize) =>
51344369838SXuan Hu    Mux(io.commits.isWalk && walkValid, destSize, 0.U)
51444369838SXuan Hu  }.reduce(_ +& _)
51544369838SXuan Hu
51665f65924SXuan Hu  rab.io.fromRob.commitSize := commitSizeSum
51765f65924SXuan Hu  rab.io.fromRob.walkSize := walkSizeSum
518c4b56310SHaojin Tang  rab.io.snpt := io.snpt
5199b9e991bSHaojin Tang  rab.io.snpt.snptEnq := snptEnq
520a8db15d8Sfdy
521a8db15d8Sfdy  io.rabCommits := rab.io.commits
522a8db15d8Sfdy  io.diffCommits := rab.io.diffCommits
523a8db15d8Sfdy
5249aca92b9SYinan Xu  /**
525*4c7680e0SXuan Hu   * connection of [[vtypeBuffer]]
526*4c7680e0SXuan Hu   */
527*4c7680e0SXuan Hu
528*4c7680e0SXuan Hu  vtypeBuffer.io.redirect.valid := io.redirect.valid
529*4c7680e0SXuan Hu
530*4c7680e0SXuan Hu  vtypeBuffer.io.req.zip(io.enq.req).map { case (sink, source) =>
531*4c7680e0SXuan Hu    sink.valid := source.valid && io.enq.canAccept
532*4c7680e0SXuan Hu    sink.bits := source.bits
533*4c7680e0SXuan Hu  }
534*4c7680e0SXuan Hu
535*4c7680e0SXuan Hu  private val commitIsVTypeVec = VecInit(io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => valid && info.isVset })
536*4c7680e0SXuan Hu  private val walkIsVTypeVec = VecInit(io.commits.walkValid.zip(io.commits.info).map { case (valid, info) => valid && info.isVset })
537*4c7680e0SXuan Hu  vtypeBuffer.io.fromRob.commitSize := PopCount(commitIsVTypeVec)
538*4c7680e0SXuan Hu  vtypeBuffer.io.fromRob.walkSize := PopCount(walkIsVTypeVec)
539*4c7680e0SXuan Hu  vtypeBuffer.io.snpt := io.snpt
540*4c7680e0SXuan Hu  vtypeBuffer.io.snpt.snptEnq := snptEnq
541*4c7680e0SXuan Hu  io.toDecode.vtype := vtypeBuffer.io.toDecode.vtype
542*4c7680e0SXuan Hu
543*4c7680e0SXuan Hu  /**
5449aca92b9SYinan Xu    * Enqueue (from dispatch)
5459aca92b9SYinan Xu    */
5469aca92b9SYinan Xu  // special cases
5479aca92b9SYinan Xu  val hasBlockBackward = RegInit(false.B)
5483b739f49SXuan Hu  val hasWaitForward = RegInit(false.B)
549af2f7849Shappy-lx  val doingSvinval = RegInit(false.B)
5509aca92b9SYinan Xu  // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B
5519aca92b9SYinan Xu  // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty.
5529aca92b9SYinan Xu  when (isEmpty) { hasBlockBackward:= false.B }
5539aca92b9SYinan Xu  // When any instruction commits, hasNoSpecExec should be set to false.B
5543b739f49SXuan Hu  when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B }
5555c95ea2eSYinan Xu
5565c95ea2eSYinan Xu  // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing.
5575c95ea2eSYinan Xu  // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle.
5585c95ea2eSYinan Xu  // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts.
5595c95ea2eSYinan Xu  val hasWFI = RegInit(false.B)
5605c95ea2eSYinan Xu  io.cpu_halt := hasWFI
561342656a5SYinan Xu  // WFI Timeout: 2^20 = 1M cycles
562342656a5SYinan Xu  val wfi_cycles = RegInit(0.U(20.W))
563342656a5SYinan Xu  when (hasWFI) {
564342656a5SYinan Xu    wfi_cycles := wfi_cycles + 1.U
565342656a5SYinan Xu  }.elsewhen (!hasWFI && RegNext(hasWFI)) {
566342656a5SYinan Xu    wfi_cycles := 0.U
567342656a5SYinan Xu  }
568342656a5SYinan Xu  val wfi_timeout = wfi_cycles.andR
569342656a5SYinan Xu  when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) {
5705c95ea2eSYinan Xu    hasWFI := false.B
571b6900d94SYinan Xu  }
5729aca92b9SYinan Xu
573a8db15d8Sfdy  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop)))))
574*4c7680e0SXuan Hu  io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq && vtypeBuffer.io.canEnq
5756474c47fSYinan Xu  io.enq.resp      := allocatePtrVec
576a8db15d8Sfdy  val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept))
5779aca92b9SYinan Xu  val timer = GTimer()
5789aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
5799aca92b9SYinan Xu    // we don't check whether io.redirect is valid here since redirect has higher priority
5809aca92b9SYinan Xu    when (canEnqueue(i)) {
5816ab6918fSYinan Xu      val enqUop = io.enq.req(i).bits
5826474c47fSYinan Xu      val enqIndex = allocatePtrVec(i).value
5839aca92b9SYinan Xu      // store uop in data module and debug_microOp Vec
5846474c47fSYinan Xu      debug_microOp(enqIndex) := enqUop
5856474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.dispatchTime := timer
5866474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.enqRsTime := timer
5876474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.selectTime := timer
5886474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.issueTime := timer
5896474c47fSYinan Xu      debug_microOp(enqIndex).debugInfo.writebackTime := timer
5908744445eSMaxpicca-Li      debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer
5918744445eSMaxpicca-Li      debug_microOp(enqIndex).debugInfo.tlbRespTime := timer
5928744445eSMaxpicca-Li      debug_lsInfo(enqIndex) := DebugLsInfo.init
593d2b20d1aSTang Haojin      debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init
594d2b20d1aSTang Haojin      debug_lqIdxValid(enqIndex) := false.B
595d2b20d1aSTang Haojin      debug_lsIssued(enqIndex) := false.B
596c61abc0cSXuan Hu
5973b739f49SXuan Hu      when (enqUop.blockBackward) {
5989aca92b9SYinan Xu        hasBlockBackward := true.B
5999aca92b9SYinan Xu      }
6003b739f49SXuan Hu      when (enqUop.waitForward) {
6013b739f49SXuan Hu        hasWaitForward := true.B
6029aca92b9SYinan Xu      }
603f7af4c74Schengguanghui      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
6043b739f49SXuan Hu      val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR
605af2f7849Shappy-lx      // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process
606f7af4c74Schengguanghui      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe))
607af2f7849Shappy-lx      {
608af2f7849Shappy-lx        doingSvinval := true.B
609af2f7849Shappy-lx      }
610af2f7849Shappy-lx      // the end instruction of Svinval enqs so clear doingSvinval
611f7af4c74Schengguanghui      when(!enqHasTriggerCanFire && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe))
612af2f7849Shappy-lx      {
613af2f7849Shappy-lx        doingSvinval := false.B
614af2f7849Shappy-lx      }
615af2f7849Shappy-lx      // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear
6163b739f49SXuan Hu      assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe)))
617f7af4c74Schengguanghui      when (enqUop.isWFI && !enqHasException && !enqHasTriggerCanFire) {
6185c95ea2eSYinan Xu        hasWFI := true.B
619b6900d94SYinan Xu      }
620e4f69d78Ssfencevma
621e4f69d78Ssfencevma      mmio(enqIndex) := false.B
6229aca92b9SYinan Xu    }
6239aca92b9SYinan Xu  }
624a8db15d8Sfdy  val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U)
62575b25016SYinan Xu  io.enq.isEmpty   := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR)
6269aca92b9SYinan Xu
62709309bdbSYinan Xu  when (!io.wfi_enable) {
62809309bdbSYinan Xu    hasWFI := false.B
62909309bdbSYinan Xu  }
6304aa9ed34Sfdy  // sel vsetvl's flush position
6314aa9ed34Sfdy  val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3)
6324aa9ed34Sfdy  val vsetvlState = RegInit(vs_idle)
6334aa9ed34Sfdy
6344aa9ed34Sfdy  val firstVInstrFtqPtr    = RegInit(0.U.asTypeOf(new FtqPtr))
6354aa9ed34Sfdy  val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W)))
6364aa9ed34Sfdy  val firstVInstrRobIdx    = RegInit(0.U.asTypeOf(new RobPtr))
6374aa9ed34Sfdy
6384aa9ed34Sfdy  val enq0            = io.enq.req(0)
639d91483a6Sfdy  val enq0IsVset      = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0)
6403b739f49SXuan Hu  val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe
641239413e5SXuan Hu  val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVArith(req.bits.fuType) && fire}
6424aa9ed34Sfdy  // for vs_idle
6434aa9ed34Sfdy  val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType)))
6444aa9ed34Sfdy  // for vs_waitVinstr
6454aa9ed34Sfdy  val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1)
6464aa9ed34Sfdy  val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req)
6474aa9ed34Sfdy  when(vsetvlState === vs_idle){
6483b739f49SXuan Hu    firstVInstrFtqPtr    := firstVInstrIdle.bits.ftqPtr
6493b739f49SXuan Hu    firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset
6504aa9ed34Sfdy    firstVInstrRobIdx    := firstVInstrIdle.bits.robIdx
6514aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitVinstr){
652a8db15d8Sfdy    when(Cat(enqIsVInstrOrVset).orR){
6533b739f49SXuan Hu      firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr
6543b739f49SXuan Hu      firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset
6554aa9ed34Sfdy      firstVInstrRobIdx := firstVInstrWait.bits.robIdx
6564aa9ed34Sfdy    }
657a8db15d8Sfdy  }
6584aa9ed34Sfdy
6594aa9ed34Sfdy  val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR
660a8db15d8Sfdy  when(vsetvlState === vs_idle && !io.redirect.valid){
6614aa9ed34Sfdy    when(enq0IsVsetFlush){
6624aa9ed34Sfdy      vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr)
6634aa9ed34Sfdy    }
6644aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitVinstr){
6654aa9ed34Sfdy    when(io.redirect.valid){
6664aa9ed34Sfdy      vsetvlState := vs_idle
6674aa9ed34Sfdy    }.elsewhen(Cat(enqIsVInstrOrVset).orR){
6684aa9ed34Sfdy      vsetvlState := vs_waitFlush
6694aa9ed34Sfdy    }
6704aa9ed34Sfdy  }.elsewhen(vsetvlState === vs_waitFlush){
6714aa9ed34Sfdy    when(io.redirect.valid){
6724aa9ed34Sfdy      vsetvlState := vs_idle
6734aa9ed34Sfdy    }
6744aa9ed34Sfdy  }
67509309bdbSYinan Xu
676d2b20d1aSTang Haojin  // lqEnq
677d2b20d1aSTang Haojin  io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) =>
678d2b20d1aSTang Haojin    when(io.debugEnqLsq.canAccept && alloc && req.valid) {
679d2b20d1aSTang Haojin      debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx
680d2b20d1aSTang Haojin      debug_lqIdxValid(req.bits.robIdx.value) := true.B
681d2b20d1aSTang Haojin    }
682d2b20d1aSTang Haojin  }
683d2b20d1aSTang Haojin
684d2b20d1aSTang Haojin  // lsIssue
685d2b20d1aSTang Haojin  when(io.debugHeadLsIssue) {
686d2b20d1aSTang Haojin    debug_lsIssued(deqPtr.value) := true.B
687d2b20d1aSTang Haojin  }
688d2b20d1aSTang Haojin
6899aca92b9SYinan Xu  /**
6909aca92b9SYinan Xu    * Writeback (from execution units)
6919aca92b9SYinan Xu    */
6923b739f49SXuan Hu  for (wb <- exuWBs) {
6936ab6918fSYinan Xu    when (wb.valid) {
6943b739f49SXuan Hu      val wbIdx = wb.bits.robIdx.value
6956ab6918fSYinan Xu      debug_exuData(wbIdx) := wb.bits.data
6966ab6918fSYinan Xu      debug_exuDebug(wbIdx) := wb.bits.debug
6973b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime
6983b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime
6993b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime
7003b739f49SXuan Hu      debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime
7019aca92b9SYinan Xu
702b211808bShappy-lx      // debug for lqidx and sqidx
703141a6449SXuan Hu      debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
704141a6449SXuan Hu      debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
705b211808bShappy-lx
7069aca92b9SYinan Xu      val debug_Uop = debug_microOp(wbIdx)
7079aca92b9SYinan Xu      XSInfo(true.B,
7083b739f49SXuan Hu        p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " +
7093b739f49SXuan Hu        p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " +
7103b739f49SXuan Hu        p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n"
7119aca92b9SYinan Xu      )
7129aca92b9SYinan Xu    }
7139aca92b9SYinan Xu  }
7143b739f49SXuan Hu
7153b739f49SXuan Hu  val writebackNum = PopCount(exuWBs.map(_.valid))
7169aca92b9SYinan Xu  XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum)
7179aca92b9SYinan Xu
718e4f69d78Ssfencevma  for (i <- 0 until LoadPipelineWidth) {
719e4f69d78Ssfencevma    when (RegNext(io.lsq.mmio(i))) {
720e4f69d78Ssfencevma      mmio(RegNext(io.lsq.uop(i).robIdx).value) := true.B
721e4f69d78Ssfencevma    }
722e4f69d78Ssfencevma  }
7239aca92b9SYinan Xu
7249aca92b9SYinan Xu  /**
7259aca92b9SYinan Xu    * RedirectOut: Interrupt and Exceptions
7269aca92b9SYinan Xu    */
7279aca92b9SYinan Xu  val deqDispatchData = dispatchDataRead(0)
7289aca92b9SYinan Xu  val debug_deqUop = debug_microOp(deqPtr.value)
7299aca92b9SYinan Xu
7309aca92b9SYinan Xu  val intrBitSetReg = RegNext(io.csr.intrBitSet)
7313b739f49SXuan Hu  val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safe(deqPtr.value)
7329aca92b9SYinan Xu  val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr
73384e47f35SLi Qianruo  val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR ||
734f7af4c74Schengguanghui    exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.canFire)
7359aca92b9SYinan Xu  val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe
7369aca92b9SYinan Xu  val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst
737a8db15d8Sfdy  val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException
73872951335SLi Qianruo
73984e47f35SLi Qianruo  XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n")
740f7af4c74Schengguanghui  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getFrontendCanFire, "Debug Mode: Deq has frontend trigger exception\n")
741f7af4c74Schengguanghui  XSDebug(deqHasException && exceptionDataRead.bits.trigger.getBackendCanFire, "Debug Mode: Deq has backend trigger exception\n")
74284e47f35SLi Qianruo
743a8db15d8Sfdy  val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst)
7449aca92b9SYinan Xu
745a8db15d8Sfdy  val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset
746a8db15d8Sfdy//  val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush)
747a8db15d8Sfdy  val needModifyFtqIdxOffset = false.B
748a8db15d8Sfdy  io.isVsetFlushPipe := isVsetFlushPipe
749a8db15d8Sfdy  io.vconfigPdest := rab.io.vconfigPdest
750f4b2089aSYinan Xu  // io.flushOut will trigger redirect at the next cycle.
751f4b2089aSYinan Xu  // Block any redirect or commit at the next cycle.
752f4b2089aSYinan Xu  val lastCycleFlush = RegNext(io.flushOut.valid)
753f4b2089aSYinan Xu
754f4b2089aSYinan Xu  io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush
755f4b2089aSYinan Xu  io.flushOut.bits := DontCare
75614a67055Ssfencevma  io.flushOut.bits.isRVC := deqDispatchData.isRVC
7574aa9ed34Sfdy  io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr)
7584aa9ed34Sfdy  io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx)
7594aa9ed34Sfdy  io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset)
7604aa9ed34Sfdy  io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next"
761f4b2089aSYinan Xu  io.flushOut.bits.interrupt := true.B
7629aca92b9SYinan Xu  XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable)
7639aca92b9SYinan Xu  XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable)
7649aca92b9SYinan Xu  XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe)
7659aca92b9SYinan Xu  XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst)
7669aca92b9SYinan Xu
767f4b2089aSYinan Xu  val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush
7689aca92b9SYinan Xu  io.exception.valid                := RegNext(exceptionHappen)
7693b739f49SXuan Hu  io.exception.bits.pc              := RegEnable(debug_deqUop.pc, exceptionHappen)
7703b739f49SXuan Hu  io.exception.bits.instr           := RegEnable(debug_deqUop.instr, exceptionHappen)
7713b739f49SXuan Hu  io.exception.bits.commitType      := RegEnable(deqDispatchData.commitType, exceptionHappen)
7723b739f49SXuan Hu  io.exception.bits.exceptionVec    := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen)
7733b739f49SXuan Hu  io.exception.bits.singleStep      := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen)
7743b739f49SXuan Hu  io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen)
7759aca92b9SYinan Xu  io.exception.bits.isInterrupt     := RegEnable(intrEnable, exceptionHappen)
776f7af4c74Schengguanghui  io.exception.bits.trigger         := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen)
777be7922edSzhanglinjuan  io.csr.vstart.valid               := RegEnable(exceptionDataRead.bits.vstartEn, false.B, exceptionHappen)
778e703da02SzhanglyGit  io.csr.vstart.bits                := RegEnable(exceptionDataRead.bits.vstart, exceptionHappen)
7799aca92b9SYinan Xu
7809aca92b9SYinan Xu  XSDebug(io.flushOut.valid,
7813b739f49SXuan Hu    p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " +
7829aca92b9SYinan Xu    p"excp $exceptionEnable flushPipe $isFlushPipe " +
7839aca92b9SYinan Xu    p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n")
7849aca92b9SYinan Xu
7859aca92b9SYinan Xu
7869aca92b9SYinan Xu  /**
7879aca92b9SYinan Xu    * Commits (and walk)
7889aca92b9SYinan Xu    * They share the same width.
7899aca92b9SYinan Xu    */
790dcf3a679STang Haojin  val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr))
791dcf3a679STang Haojin  val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR
79265f65924SXuan Hu  rab.io.fromRob.walkEnd := state === s_walk && walkFinished
793*4c7680e0SXuan Hu  vtypeBuffer.io.fromRob.walkEnd := state === s_walk && walkFinished
7949aca92b9SYinan Xu
7959aca92b9SYinan Xu  require(RenameWidth <= CommitWidth)
7969aca92b9SYinan Xu
7979aca92b9SYinan Xu  // wiring to csr
798f1ba628bSHaojin Tang  val (wflags, dirtyFs) = (0 until CommitWidth).map(i => {
7996474c47fSYinan Xu    val v = io.commits.commitValid(i)
8009aca92b9SYinan Xu    val info = io.commits.info(i)
801f1ba628bSHaojin Tang    (v & info.wflags, v & info.dirtyFs)
8029aca92b9SYinan Xu  }).unzip
8039aca92b9SYinan Xu  val fflags = Wire(Valid(UInt(5.W)))
8046474c47fSYinan Xu  fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR
8059aca92b9SYinan Xu  fflags.bits := wflags.zip(fflagsDataRead).map({
8069aca92b9SYinan Xu    case (w, f) => Mux(w, f, 0.U)
8079aca92b9SYinan Xu  }).reduce(_|_)
808f1ba628bSHaojin Tang  val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR
8099aca92b9SYinan Xu
810a8db15d8Sfdy  val vxsat = Wire(Valid(Bool()))
811a8db15d8Sfdy  vxsat.valid := io.commits.isCommit && vxsat.bits
812a8db15d8Sfdy  vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map {
813a8db15d8Sfdy    case (valid, vxsat) => valid & vxsat
814a8db15d8Sfdy  }.reduce(_ | _)
815a8db15d8Sfdy
8169aca92b9SYinan Xu  // when mispredict branches writeback, stop commit in the next 2 cycles
8179aca92b9SYinan Xu  // TODO: don't check all exu write back
8183b739f49SXuan Hu  val misPredWb = Cat(VecInit(redirectWBs.map(wb =>
8192f2ee3b1SXuan Hu    wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid
82083ba63b3SXuan Hu  ).toSeq)).orR
8219aca92b9SYinan Xu  val misPredBlockCounter = Reg(UInt(3.W))
8229aca92b9SYinan Xu  misPredBlockCounter := Mux(misPredWb,
8239aca92b9SYinan Xu    "b111".U,
8249aca92b9SYinan Xu    misPredBlockCounter >> 1.U
8259aca92b9SYinan Xu  )
8269aca92b9SYinan Xu  val misPredBlock = misPredBlockCounter(0)
827c4b56310SHaojin Tang  val blockCommit = misPredBlock || isReplaying || lastCycleFlush || hasWFI || io.redirect.valid
8289aca92b9SYinan Xu
829ccfddc82SHaojin Tang  io.commits.isWalk := state === s_walk
8306474c47fSYinan Xu  io.commits.isCommit := state === s_idle && !blockCommit
8316474c47fSYinan Xu  val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value)))
8326474c47fSYinan Xu  val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value)))
8339aca92b9SYinan Xu  // store will be commited iff both sta & std have been writebacked
834f7af4c74Schengguanghui  val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value) && commitTrigger(ptr.value)))
8359aca92b9SYinan Xu  val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last)
8369aca92b9SYinan Xu  val commit_block = VecInit((0 until CommitWidth).map(i => !commit_w(i)))
8379aca92b9SYinan Xu  val allowOnlyOneCommit = commit_exception || intrBitSetReg
8389aca92b9SYinan Xu  // for instructions that may block others, we don't allow them to commit
8399aca92b9SYinan Xu  for (i <- 0 until CommitWidth) {
8409aca92b9SYinan Xu    // defaults: state === s_idle and instructions commit
8419aca92b9SYinan Xu    // when intrBitSetReg, allow only one instruction to commit at each clock cycle
8429aca92b9SYinan Xu    val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst
8436474c47fSYinan Xu    io.commits.commitValid(i) := commit_v(i) && commit_w(i) && !isBlocked
8449aca92b9SYinan Xu    io.commits.info(i) := dispatchDataRead(i)
845fa7f2c26STang Haojin    io.commits.robIdx(i) := deqPtrVec(i)
8469aca92b9SYinan Xu
8476474c47fSYinan Xu    io.commits.walkValid(i) := shouldWalkVec(i)
848935edac4STang Haojin    when (state === s_walk) {
8496474c47fSYinan Xu      when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) {
850ef8fa011SXuan Hu        XSError(!walk_v(i), s"The walking entry($i) should be valid\n")
8516474c47fSYinan Xu      }
8529aca92b9SYinan Xu    }
8539aca92b9SYinan Xu
8546474c47fSYinan Xu    XSInfo(io.commits.isCommit && io.commits.commitValid(i),
855c61abc0cSXuan Hu      "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n",
8563b739f49SXuan Hu      debug_microOp(deqPtrVec(i).value).pc,
8579aca92b9SYinan Xu      io.commits.info(i).rfWen,
8589aca92b9SYinan Xu      io.commits.info(i).ldest,
8599aca92b9SYinan Xu      io.commits.info(i).pdest,
8609aca92b9SYinan Xu      debug_exuData(deqPtrVec(i).value),
861a8db15d8Sfdy      fflagsDataRead(i),
862a8db15d8Sfdy      vxsatDataRead(i)
8639aca92b9SYinan Xu    )
8646474c47fSYinan Xu    XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n",
8653b739f49SXuan Hu      debug_microOp(walkPtrVec(i).value).pc,
8669aca92b9SYinan Xu      io.commits.info(i).rfWen,
8679aca92b9SYinan Xu      io.commits.info(i).ldest,
8689aca92b9SYinan Xu      debug_exuData(walkPtrVec(i).value)
8699aca92b9SYinan Xu    )
8709aca92b9SYinan Xu  }
8711545277aSYinan Xu  if (env.EnableDifftest) {
8729aca92b9SYinan Xu    io.commits.info.map(info => dontTouch(info.pc))
8739aca92b9SYinan Xu  }
8749aca92b9SYinan Xu
875a8db15d8Sfdy  // sync fflags/dirty_fs/vxsat to csr
876a4e57ea3SLi Qianruo  io.csr.fflags := RegNext(fflags)
877a4e57ea3SLi Qianruo  io.csr.dirty_fs := RegNext(dirty_fs)
878a8db15d8Sfdy  io.csr.vxsat := RegNext(vxsat)
8799aca92b9SYinan Xu
8804aa9ed34Sfdy  // sync v csr to csr
881a8db15d8Sfdy  // for difftest
8823691c4dfSfdy  if(env.AlwaysBasicDiff || env.EnableDifftest) {
883fe60541bSXuan Hu    val isDiffWriteVconfigVec = io.diffCommits.commitValid.zip(io.diffCommits.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse
884a8db15d8Sfdy    io.csr.vcsrFlag := RegNext(io.diffCommits.isCommit && Cat(isDiffWriteVconfigVec).orR)
8853691c4dfSfdy  }
8863691c4dfSfdy  else{
8873691c4dfSfdy    io.csr.vcsrFlag := false.B
8883691c4dfSfdy  }
8894aa9ed34Sfdy
8909aca92b9SYinan Xu  // commit load/store to lsq
8916474c47fSYinan Xu  val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD))
8926474c47fSYinan Xu  val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE))
89320a5248fSzhanglinjuan  val deqPtrVec_next = Wire(Vec(CommitWidth, Output(new RobPtr)))
8946474c47fSYinan Xu  io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U))
8956474c47fSYinan Xu  io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U))
8966474c47fSYinan Xu  // indicate a pending load or store
897e4f69d78Ssfencevma  io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value))
8986474c47fSYinan Xu  io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value))
8996474c47fSYinan Xu  io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0))
900e4f69d78Ssfencevma  io.lsq.pendingPtr := RegNext(deqPtr)
90120a5248fSzhanglinjuan  io.lsq.pendingPtrNext := RegNext(deqPtrVec_next.head)
9029aca92b9SYinan Xu
9039aca92b9SYinan Xu  /**
9049aca92b9SYinan Xu    * state changes
905ccfddc82SHaojin Tang    * (1) redirect: switch to s_walk
906ccfddc82SHaojin Tang    * (2) walk: when walking comes to the end, switch to s_idle
9079aca92b9SYinan Xu    */
908*4c7680e0SXuan Hu  val state_next = Mux(
909*4c7680e0SXuan Hu    io.redirect.valid, s_walk,
910*4c7680e0SXuan Hu    Mux(
911*4c7680e0SXuan Hu      state === s_walk && walkFinished && rab.io.status.walkEnd && vtypeBuffer.io.status.walkEnd, s_idle,
912*4c7680e0SXuan Hu      state
913*4c7680e0SXuan Hu    )
914*4c7680e0SXuan Hu  )
9157e8294acSYinan Xu  XSPerfAccumulate("s_idle_to_idle",            state === s_idle && state_next === s_idle)
9167e8294acSYinan Xu  XSPerfAccumulate("s_idle_to_walk",            state === s_idle && state_next === s_walk)
9177e8294acSYinan Xu  XSPerfAccumulate("s_walk_to_idle",            state === s_walk && state_next === s_idle)
9187e8294acSYinan Xu  XSPerfAccumulate("s_walk_to_walk",            state === s_walk && state_next === s_walk)
9199aca92b9SYinan Xu  state := state_next
9209aca92b9SYinan Xu
9219aca92b9SYinan Xu  /**
9229aca92b9SYinan Xu    * pointers and counters
9239aca92b9SYinan Xu    */
9249aca92b9SYinan Xu  val deqPtrGenModule = Module(new RobDeqPtrWrapper)
9259aca92b9SYinan Xu  deqPtrGenModule.io.state := state
9269aca92b9SYinan Xu  deqPtrGenModule.io.deq_v := commit_v
9279aca92b9SYinan Xu  deqPtrGenModule.io.deq_w := commit_w
9289aca92b9SYinan Xu  deqPtrGenModule.io.exception_state := exceptionDataRead
9299aca92b9SYinan Xu  deqPtrGenModule.io.intrBitSetReg := intrBitSetReg
9303b739f49SXuan Hu  deqPtrGenModule.io.hasNoSpecExec := hasWaitForward
931e8009193SYinan Xu  deqPtrGenModule.io.interrupt_safe := interrupt_safe(deqPtr.value)
9326474c47fSYinan Xu  deqPtrGenModule.io.blockCommit := blockCommit
9339aca92b9SYinan Xu  deqPtrVec := deqPtrGenModule.io.out
93420a5248fSzhanglinjuan  deqPtrVec_next := deqPtrGenModule.io.next_out
9359aca92b9SYinan Xu
9369aca92b9SYinan Xu  val enqPtrGenModule = Module(new RobEnqPtrWrapper)
9379aca92b9SYinan Xu  enqPtrGenModule.io.redirect := io.redirect
93844369838SXuan Hu  enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq
9399aca92b9SYinan Xu  enqPtrGenModule.io.hasBlockBackward := hasBlockBackward
940a8db15d8Sfdy  enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop))
9416474c47fSYinan Xu  enqPtrVec := enqPtrGenModule.io.out
9429aca92b9SYinan Xu
9439aca92b9SYinan Xu  // next walkPtrVec:
9449aca92b9SYinan Xu  // (1) redirect occurs: update according to state
945ccfddc82SHaojin Tang  // (2) walk: move forwards
946ccfddc82SHaojin Tang  val walkPtrVec_next = Mux(io.redirect.valid,
947fa7f2c26STang Haojin    Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next),
948ccfddc82SHaojin Tang    Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec)
9499aca92b9SYinan Xu  )
9509aca92b9SYinan Xu  walkPtrVec := walkPtrVec_next
9519aca92b9SYinan Xu
95275b25016SYinan Xu  val numValidEntries = distanceBetween(enqPtr, deqPtr)
953a8db15d8Sfdy  val commitCnt = PopCount(io.commits.commitValid)
9549aca92b9SYinan Xu
95575b25016SYinan Xu  allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U
9569aca92b9SYinan Xu
957ccfddc82SHaojin Tang  val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0))
9589aca92b9SYinan Xu  when (io.redirect.valid) {
959dcf3a679STang Haojin    lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx)
9609aca92b9SYinan Xu  }
9619aca92b9SYinan Xu
9629aca92b9SYinan Xu
9639aca92b9SYinan Xu  /**
9649aca92b9SYinan Xu    * States
9659aca92b9SYinan Xu    * We put all the stage bits changes here.
9669aca92b9SYinan Xu
9679aca92b9SYinan Xu    * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit);
9689aca92b9SYinan Xu    * All states: (1) valid; (2) writebacked; (3) flagBkup
9699aca92b9SYinan Xu    */
9709aca92b9SYinan Xu  val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value)))
9719aca92b9SYinan Xu
972ccfddc82SHaojin Tang  // redirect logic writes 6 valid
973ccfddc82SHaojin Tang  val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr))
974ccfddc82SHaojin Tang  val redirectTail = Reg(new RobPtr)
975ccfddc82SHaojin Tang  val redirectIdle :: redirectBusy :: Nil = Enum(2)
976ccfddc82SHaojin Tang  val redirectState = RegInit(redirectIdle)
977ccfddc82SHaojin Tang  val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail))
978ccfddc82SHaojin Tang  when(redirectState === redirectBusy) {
979ccfddc82SHaojin Tang    redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U)
980ccfddc82SHaojin Tang    redirectHeadVec zip invMask foreach {
981ccfddc82SHaojin Tang      case (redirectHead, inv) => when(inv) {
982ccfddc82SHaojin Tang        valid(redirectHead.value) := false.B
983ccfddc82SHaojin Tang      }
984ccfddc82SHaojin Tang    }
985ccfddc82SHaojin Tang    when(!invMask.last) {
986ccfddc82SHaojin Tang      redirectState := redirectIdle
987ccfddc82SHaojin Tang    }
988ccfddc82SHaojin Tang  }
989ccfddc82SHaojin Tang  when(io.redirect.valid) {
990ccfddc82SHaojin Tang    redirectState := redirectBusy
991ccfddc82SHaojin Tang    when(redirectState === redirectIdle) {
992ccfddc82SHaojin Tang      redirectTail := enqPtr
993ccfddc82SHaojin Tang    }
994ccfddc82SHaojin Tang    redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) =>
995ccfddc82SHaojin Tang      redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U)
996ccfddc82SHaojin Tang    }
997ccfddc82SHaojin Tang  }
9989aca92b9SYinan Xu  // enqueue logic writes 6 valid
9999aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
1000f4b2089aSYinan Xu    when (canEnqueue(i) && !io.redirect.valid) {
10016474c47fSYinan Xu      valid(allocatePtrVec(i).value) := true.B
10029aca92b9SYinan Xu    }
10039aca92b9SYinan Xu  }
1004ccfddc82SHaojin Tang  // dequeue logic writes 6 valid
10059aca92b9SYinan Xu  for (i <- 0 until CommitWidth) {
10066474c47fSYinan Xu    val commitValid = io.commits.isCommit && io.commits.commitValid(i)
1007ccfddc82SHaojin Tang    when (commitValid) {
10089aca92b9SYinan Xu      valid(commitReadAddr(i)) := false.B
10099aca92b9SYinan Xu    }
10109aca92b9SYinan Xu  }
10119aca92b9SYinan Xu
10128744445eSMaxpicca-Li  // debug_inst update
1013870f462dSXuan Hu  for(i <- 0 until (LduCnt + StaCnt)) {
10148744445eSMaxpicca-Li    debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i))
10158744445eSMaxpicca-Li    debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i))
10168744445eSMaxpicca-Li  }
1017870f462dSXuan Hu  for (i <- 0 until LduCnt) {
1018d2b20d1aSTang Haojin    debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i))
1019d2b20d1aSTang Haojin    debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i))
1020d2b20d1aSTang Haojin  }
10218744445eSMaxpicca-Li
1022f7af4c74Schengguanghui  // status field: writebacked
1023f7af4c74Schengguanghui  // enqueue logic set 6 writebacked to false
1024f7af4c74Schengguanghui  for (i <- 0 until RenameWidth) {
1025f7af4c74Schengguanghui    when(canEnqueue(i)) {
1026f7af4c74Schengguanghui      val enqHasException = ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec).asUInt.orR
1027f7af4c74Schengguanghui      val enqHasTriggerCanFire = io.enq.req(i).bits.trigger.getFrontendCanFire
1028f7af4c74Schengguanghui      val enqIsWritebacked = io.enq.req(i).bits.eliminatedMove
1029f7af4c74Schengguanghui      val isStu = FuType.isStore(io.enq.req(i).bits.fuType)
1030f7af4c74Schengguanghui      commitTrigger(allocatePtrVec(i).value) := enqIsWritebacked && !enqHasException && !enqHasTriggerCanFire && !isStu
1031f7af4c74Schengguanghui    }
1032f7af4c74Schengguanghui  }
1033f7af4c74Schengguanghui  when(exceptionGen.io.out.valid) {
1034f7af4c74Schengguanghui    val wbIdx = exceptionGen.io.out.bits.robIdx.value
1035f7af4c74Schengguanghui    commitTrigger(wbIdx) := true.B
1036f7af4c74Schengguanghui  }
1037f7af4c74Schengguanghui
10389aca92b9SYinan Xu  // writeback logic set numWbPorts writebacked to true
1039a8db15d8Sfdy  val blockWbSeq = Wire(Vec(exuWBs.length, Bool()))
1040a8db15d8Sfdy  blockWbSeq.map(_ := false.B)
1041a8db15d8Sfdy  for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) {
10426ab6918fSYinan Xu    when(wb.valid) {
1043f7af4c74Schengguanghui      val wbIdx = wb.bits.robIdx.value
10443b739f49SXuan Hu      val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR
1045f7af4c74Schengguanghui      val wbHasTriggerCanFire = wb.bits.trigger.getOrElse(0.U).asTypeOf(io.enq.req(0).bits.trigger).getBackendCanFire //Todo: wb.bits.trigger.getHitBackend
10463b739f49SXuan Hu      val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B)
10473b739f49SXuan Hu      val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst
1048f7af4c74Schengguanghui      blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerCanFire
1049f7af4c74Schengguanghui      commitTrigger(wbIdx) := !blockWb
10509aca92b9SYinan Xu    }
10519aca92b9SYinan Xu  }
1052a8db15d8Sfdy
1053a8db15d8Sfdy  // if the first uop of an instruction is valid , write writebackedCounter
1054a8db15d8Sfdy  val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid)
1055a8db15d8Sfdy  val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop)
1056a8db15d8Sfdy  val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf)
1057a8db15d8Sfdy  val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value)
1058f1e8fcb2SXuan Hu  val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops))
10593235a9d8SZiyue-Zhang  val enqWBNumVec = VecInit(io.enq.req.map(req => req.bits.numWB))
1060f1e8fcb2SXuan Hu  val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove))
1061a8db15d8Sfdy
1062f1e8fcb2SXuan Hu  private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map {
1063f1e8fcb2SXuan Hu    req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType)
1064f1e8fcb2SXuan Hu  })
1065a8db15d8Sfdy  val fflags_wb = fflagsPorts
1066a8db15d8Sfdy  val vxsat_wb = vxsatPorts
1067a8db15d8Sfdy  for(i <- 0 until RobSize){
1068a8db15d8Sfdy
1069a8db15d8Sfdy    val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U)
1070a8db15d8Sfdy    val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
1071a8db15d8Sfdy    val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch }
1072a8db15d8Sfdy    val instCanEnqFlag = Cat(instCanEnqSeq).orR
1073a8db15d8Sfdy
1074a8db15d8Sfdy    realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U)
1075a8db15d8Sfdy
1076f1e8fcb2SXuan Hu    val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec)
10773235a9d8SZiyue-Zhang    val enqWBNum = PriorityMux(instCanEnqSeq, enqWBNumVec)
1078f1e8fcb2SXuan Hu    val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec)
1079f1e8fcb2SXuan Hu    val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec)
1080a8db15d8Sfdy
1081a8db15d8Sfdy    val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
1082a8db15d8Sfdy    val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb }
1083f1e8fcb2SXuan Hu    val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U))
1084f1e8fcb2SXuan Hu    val wbCnt = PopCount(canWbNoBlockSeq)
108589cc69c1STang Haojin
108689cc69c1STang Haojin    val exceptionHas = RegInit(false.B)
108789cc69c1STang Haojin    val exceptionHasWire = Wire(Bool())
108889cc69c1STang Haojin    exceptionHasWire := MuxCase(exceptionHas, Seq(
108989cc69c1STang Haojin      (valid(i) && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B,
109089cc69c1STang Haojin      !valid(i) -> false.B
109189cc69c1STang Haojin    ))
109289cc69c1STang Haojin    exceptionHas := exceptionHasWire
109389cc69c1STang Haojin
109489cc69c1STang Haojin    when (exceptionHas || exceptionHasWire) {
1095f1e8fcb2SXuan Hu      // exception flush
1096f1e8fcb2SXuan Hu      uopNumVec(i) := 0.U
1097f1e8fcb2SXuan Hu      stdWritebacked(i) := true.B
1098f1e8fcb2SXuan Hu    }.elsewhen(!valid(i) && instCanEnqFlag) {
1099f1e8fcb2SXuan Hu      // enq set num of uops
11003235a9d8SZiyue-Zhang      uopNumVec(i) := enqWBNum
1101f1e8fcb2SXuan Hu      stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B)
1102f1e8fcb2SXuan Hu    }.elsewhen(valid(i)) {
1103f1e8fcb2SXuan Hu      // update by writing back
1104f1e8fcb2SXuan Hu      uopNumVec(i) := uopNumVec(i) - wbCnt
110558289942Szhanglinjuan      assert(!(uopNumVec(i) - wbCnt > uopNumVec(i)), "Overflow!")
1106f1e8fcb2SXuan Hu      when (canStdWbSeq.asUInt.orR) {
1107f1e8fcb2SXuan Hu        stdWritebacked(i) := true.B
1108f1e8fcb2SXuan Hu      }
1109f1e8fcb2SXuan Hu    }.otherwise {
1110f1e8fcb2SXuan Hu      uopNumVec(i) := 0.U
1111f1e8fcb2SXuan Hu    }
1112a8db15d8Sfdy
11133bc74e23SzhanglyGit    val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B))
111427c566d7SXuan Hu    val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _)
1115a8db15d8Sfdy    fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes)
1116a8db15d8Sfdy
1117a8db15d8Sfdy    val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)
111827c566d7SXuan Hu    val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _)
1119a8db15d8Sfdy    vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes)
11209aca92b9SYinan Xu  }
11219aca92b9SYinan Xu
11229aca92b9SYinan Xu  // flagBkup
11239aca92b9SYinan Xu  // enqueue logic set 6 flagBkup at most
11249aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
11259aca92b9SYinan Xu    when (canEnqueue(i)) {
11266474c47fSYinan Xu      flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag
11279aca92b9SYinan Xu    }
11289aca92b9SYinan Xu  }
11299aca92b9SYinan Xu
1130e8009193SYinan Xu  // interrupt_safe
1131e8009193SYinan Xu  for (i <- 0 until RenameWidth) {
1132e8009193SYinan Xu    // We RegNext the updates for better timing.
1133e8009193SYinan Xu    // Note that instructions won't change the system's states in this cycle.
1134e8009193SYinan Xu    when (RegNext(canEnqueue(i))) {
1135e8009193SYinan Xu      // For now, we allow non-load-store instructions to trigger interrupts
1136e8009193SYinan Xu      // For MMIO instructions, they should not trigger interrupts since they may
1137e8009193SYinan Xu      // be sent to lower level before it writes back.
1138e8009193SYinan Xu      // However, we cannot determine whether a load/store instruction is MMIO.
1139e8009193SYinan Xu      // Thus, we don't allow load/store instructions to trigger an interrupt.
1140e8009193SYinan Xu      // TODO: support non-MMIO load-store instructions to trigger interrupts
11413b739f49SXuan Hu      val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType)
11426474c47fSYinan Xu      interrupt_safe(RegNext(allocatePtrVec(i).value)) := RegNext(allow_interrupts)
1143e8009193SYinan Xu    }
1144e8009193SYinan Xu  }
11459aca92b9SYinan Xu
11469aca92b9SYinan Xu  /**
11479aca92b9SYinan Xu    * read and write of data modules
11489aca92b9SYinan Xu    */
11499aca92b9SYinan Xu  val commitReadAddr_next = Mux(state_next === s_idle,
11509aca92b9SYinan Xu    VecInit(deqPtrVec_next.map(_.value)),
11519aca92b9SYinan Xu    VecInit(walkPtrVec_next.map(_.value))
11529aca92b9SYinan Xu  )
11539aca92b9SYinan Xu  dispatchData.io.wen := canEnqueue
11546474c47fSYinan Xu  dispatchData.io.waddr := allocatePtrVec.map(_.value)
115544369838SXuan Hu  dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).zipWithIndex.foreach { case ((wdata, req), portIdx) =>
11563b739f49SXuan Hu    wdata.ldest := req.ldest
11573b739f49SXuan Hu    wdata.rfWen := req.rfWen
1158f1ba628bSHaojin Tang    wdata.dirtyFs := req.dirtyFs
11593b739f49SXuan Hu    wdata.vecWen := req.vecWen
1160bdda74fdSxiaofeibao-xjtu    wdata.wflags := req.wfflags
11613b739f49SXuan Hu    wdata.commitType := req.commitType
11629aca92b9SYinan Xu    wdata.pdest := req.pdest
11633b739f49SXuan Hu    wdata.ftqIdx := req.ftqPtr
11643b739f49SXuan Hu    wdata.ftqOffset := req.ftqOffset
1165ccfddc82SHaojin Tang    wdata.isMove := req.eliminatedMove
1166870f462dSXuan Hu    wdata.isRVC := req.preDecodeInfo.isRVC
11673b739f49SXuan Hu    wdata.pc := req.pc
116875e2c883SXuan Hu    wdata.vtype := req.vpu.vtype
1169d91483a6Sfdy    wdata.isVset := req.isVset
117089cc69c1STang Haojin    wdata.instrSize := req.instrSize
11719aca92b9SYinan Xu  }
11729aca92b9SYinan Xu  dispatchData.io.raddr := commitReadAddr_next
11739aca92b9SYinan Xu
11749aca92b9SYinan Xu  exceptionGen.io.redirect <> io.redirect
11759aca92b9SYinan Xu  exceptionGen.io.flush := io.flushOut.valid
1176a8db15d8Sfdy
1177a8db15d8Sfdy  val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept))
11789aca92b9SYinan Xu  for (i <- 0 until RenameWidth) {
1179a8db15d8Sfdy    exceptionGen.io.enq(i).valid := canEnqueueEG(i)
11809aca92b9SYinan Xu    exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx
11813b739f49SXuan Hu    exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec)
11823b739f49SXuan Hu    exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe
1183d91483a6Sfdy    exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset
1184d7dd1af1SLi Qianruo    exceptionGen.io.enq(i).bits.replayInst := false.B
11853b739f49SXuan Hu    XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst")
11863b739f49SXuan Hu    exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep
11873b739f49SXuan Hu    exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix
1188d7dd1af1SLi Qianruo    exceptionGen.io.enq(i).bits.trigger.clear()
11893b739f49SXuan Hu    exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit
1190f7af4c74Schengguanghui    exceptionGen.io.enq(i).bits.trigger.frontendCanFire := io.enq.req(i).bits.trigger.frontendCanFire
1191e703da02SzhanglyGit    exceptionGen.io.enq(i).bits.vstartEn := false.B //DontCare
1192e703da02SzhanglyGit    exceptionGen.io.enq(i).bits.vstart := 0.U //DontCare
11939aca92b9SYinan Xu  }
11949aca92b9SYinan Xu
11956ab6918fSYinan Xu  println(s"ExceptionGen:")
11963b739f49SXuan Hu  println(s"num of exceptions: ${params.numException}")
11973b739f49SXuan Hu  require(exceptionWBs.length == exceptionGen.io.wb.length,
11983b739f49SXuan Hu    f"exceptionWBs.length: ${exceptionWBs.length}, " +
11993b739f49SXuan Hu      f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}")
12003b739f49SXuan Hu  for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) {
12016ab6918fSYinan Xu    exc_wb.valid                := wb.valid
12023b739f49SXuan Hu    exc_wb.bits.robIdx          := wb.bits.robIdx
12033b739f49SXuan Hu    exc_wb.bits.exceptionVec    := wb.bits.exceptionVec.get
12043b739f49SXuan Hu    exc_wb.bits.flushPipe       := wb.bits.flushPipe.getOrElse(false.B)
12054aa9ed34Sfdy    exc_wb.bits.isVset          := false.B
12063b739f49SXuan Hu    exc_wb.bits.replayInst      := wb.bits.replay.getOrElse(false.B)
12076ab6918fSYinan Xu    exc_wb.bits.singleStep      := false.B
12086ab6918fSYinan Xu    exc_wb.bits.crossPageIPFFix := false.B
1209f7af4c74Schengguanghui    // TODO: make trigger configurable
1210f7af4c74Schengguanghui    val trigger = wb.bits.trigger.getOrElse(0.U).asTypeOf(exc_wb.bits.trigger)
1211f7af4c74Schengguanghui    exc_wb.bits.trigger.clear() // Don't care frontend timing, chain, hit and canFire
1212f7af4c74Schengguanghui    exc_wb.bits.trigger.backendHit := trigger.backendHit
1213f7af4c74Schengguanghui    exc_wb.bits.trigger.backendCanFire := trigger.backendCanFire
1214e703da02SzhanglyGit    exc_wb.bits.vstartEn := false.B //wb.bits.vstartEn.getOrElse(false.B) // todo need add vstart in ExuOutput
1215e703da02SzhanglyGit    exc_wb.bits.vstart := 0.U //wb.bits.vstart.getOrElse(0.U)
12163b739f49SXuan Hu//    println(s"  [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " +
12173b739f49SXuan Hu//      s"flushPipe ${configs.exists(_.flushPipe)}, " +
12183b739f49SXuan Hu//      s"replayInst ${configs.exists(_.replayInst)}")
12199aca92b9SYinan Xu  }
12209aca92b9SYinan Xu
1221a8db15d8Sfdy  fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value))
1222a8db15d8Sfdy  vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value))
1223d91483a6Sfdy
12246474c47fSYinan Xu  val instrCntReg = RegInit(0.U(64.W))
12256474c47fSYinan Xu  val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) })
122689cc69c1STang Haojin  val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt
12276474c47fSYinan Xu  val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U)
12286474c47fSYinan Xu  val instrCnt = instrCntReg + retireCounter
12296474c47fSYinan Xu  instrCntReg := instrCnt
12306474c47fSYinan Xu  io.csr.perfinfo.retiredInstr := retireCounter
12319aca92b9SYinan Xu  io.robFull := !allowEnqueue
1232d2b20d1aSTang Haojin  io.headNotReady := commit_v.head && !commit_w.head
12339aca92b9SYinan Xu
12349aca92b9SYinan Xu  /**
12359aca92b9SYinan Xu    * debug info
12369aca92b9SYinan Xu    */
12379aca92b9SYinan Xu  XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n")
12389aca92b9SYinan Xu  XSDebug("")
12392f2ee3b1SXuan Hu  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
12409aca92b9SYinan Xu  for(i <- 0 until RobSize) {
12419aca92b9SYinan Xu    XSDebug(false, !valid(i), "-")
1242a8db15d8Sfdy    XSDebug(false, valid(i) && isWritebacked(i.U), "w")
1243a8db15d8Sfdy    XSDebug(false, valid(i) && !isWritebacked(i.U), "v")
12449aca92b9SYinan Xu  }
12459aca92b9SYinan Xu  XSDebug(false, true.B, "\n")
12469aca92b9SYinan Xu
12479aca92b9SYinan Xu  for(i <- 0 until RobSize) {
12489aca92b9SYinan Xu    if (i % 4 == 0) XSDebug("")
12493b739f49SXuan Hu    XSDebug(false, true.B, "%x ", debug_microOp(i).pc)
12509aca92b9SYinan Xu    XSDebug(false, !valid(i), "- ")
1251a8db15d8Sfdy    XSDebug(false, valid(i) && isWritebacked(i.U), "w ")
1252a8db15d8Sfdy    XSDebug(false, valid(i) && !isWritebacked(i.U), "v ")
12539aca92b9SYinan Xu    if (i % 4 == 3) XSDebug(false, true.B, "\n")
12549aca92b9SYinan Xu  }
12559aca92b9SYinan Xu
12566474c47fSYinan Xu  def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U)
12577e8294acSYinan Xu  def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U)
12589aca92b9SYinan Xu
12599aca92b9SYinan Xu  val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_))
12609aca92b9SYinan Xu  XSPerfAccumulate("clock_cycle", 1.U)
1261e986c5deSXuan Hu  QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U)
12629aca92b9SYinan Xu  XSPerfAccumulate("commitUop", ifCommit(commitCnt))
12637e8294acSYinan Xu  XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt))
1264ec9e6512Swakafa  XSPerfRolling("ipc", ifCommitReg(trueCommitCnt), 1000, clock, reset)
1265839e5512SZifei Zhang  XSPerfRolling("cpi", perfCnt = 1.U/*Cycle*/, eventTrigger = ifCommitReg(trueCommitCnt), granularity = 1000, clock, reset)
12663b739f49SXuan Hu  val commitIsMove = commitDebugUop.map(_.isMove)
12676474c47fSYinan Xu  XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })))
12689aca92b9SYinan Xu  val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove)
12696474c47fSYinan Xu  XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e })))
12707e8294acSYinan Xu  XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt))
12719aca92b9SYinan Xu  val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD)
12726474c47fSYinan Xu  val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t }
12739aca92b9SYinan Xu  XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid)))
127420edb3f7SWilliam Wang  val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH)
12756474c47fSYinan Xu  val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t }
127620edb3f7SWilliam Wang  XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid)))
12773b739f49SXuan Hu  val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit)
12789aca92b9SYinan Xu  XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })))
12799aca92b9SYinan Xu  val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE)
12806474c47fSYinan Xu  XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })))
1281a8db15d8Sfdy  XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U))))
1282c51eab43SYinan Xu  // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire)))
12839aca92b9SYinan Xu  // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready)))
12846474c47fSYinan Xu  XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U))
1285e986c5deSXuan Hu  XSPerfAccumulate("walkCycleTotal", state === s_walk)
1286e986c5deSXuan Hu  XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd)
1287e986c5deSXuan Hu  private val walkCycle = RegInit(0.U(8.W))
1288e986c5deSXuan Hu  private val waitRabWalkCycle = RegInit(0.U(8.W))
1289e986c5deSXuan Hu  walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1290e986c5deSXuan Hu  waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U))
1291e986c5deSXuan Hu
1292e986c5deSXuan Hu  XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32)
1293e986c5deSXuan Hu  XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32)
1294e986c5deSXuan Hu  XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32)
1295e986c5deSXuan Hu
1296af4bdb08SXuan Hu  private val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value)
1297af4bdb08SXuan Hu  private val deqStdNotWritebacked = valid(deqPtr.value) && !stdWritebacked(deqPtr.value)
1298af4bdb08SXuan Hu  private val deqUopNotWritebacked = valid(deqPtr.value) && !isUopWritebacked(deqPtr.value)
1299af4bdb08SXuan Hu  private val deqHeadInfo = debug_microOp(deqPtr.value)
13009aca92b9SYinan Xu  val deqUopCommitType = io.commits.info(0).commitType
1301239413e5SXuan Hu
1302af4bdb08SXuan Hu  XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U)
1303af4bdb08SXuan Hu  XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U)
1304af4bdb08SXuan Hu  XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U)
1305af4bdb08SXuan Hu  XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U)
1306af4bdb08SXuan Hu  XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U)
1307af4bdb08SXuan Hu  XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U)
1308af4bdb08SXuan Hu  XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U)
1309af4bdb08SXuan Hu  XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U)
1310af4bdb08SXuan Hu  XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U)
1311af4bdb08SXuan Hu  XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1312af4bdb08SXuan Hu  XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1313af4bdb08SXuan Hu  XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U)
1314af4bdb08SXuan Hu  XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U)
1315af4bdb08SXuan Hu
13169aca92b9SYinan Xu  XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL)
13179aca92b9SYinan Xu  XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH)
13189aca92b9SYinan Xu  XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD)
13199aca92b9SYinan Xu  XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE)
13209aca92b9SYinan Xu  XSPerfAccumulate("robHeadPC", io.commits.info(0).pc)
132189cc69c1STang Haojin  XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U}))
132289cc69c1STang Haojin  (2 to RenameWidth).foreach(i =>
132389cc69c1STang Haojin    XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize === i.U}))
132489cc69c1STang Haojin  )
132589cc69c1STang Haojin  XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _))
13269aca92b9SYinan Xu  val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime)
13279aca92b9SYinan Xu  val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime)
13289aca92b9SYinan Xu  val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime)
13299aca92b9SYinan Xu  val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime)
13309aca92b9SYinan Xu  val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime)
13319aca92b9SYinan Xu  val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime)
13329aca92b9SYinan Xu  val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime)
13339aca92b9SYinan Xu  def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = {
13349aca92b9SYinan Xu    cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _)
13359aca92b9SYinan Xu  }
13369aca92b9SYinan Xu  for (fuType <- FuType.functionNameMap.keys) {
13379aca92b9SYinan Xu    val fuName = FuType.functionNameMap(fuType)
13383b739f49SXuan Hu    val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U )
1339839e5512SZifei Zhang    XSPerfRolling(s"ipc_futype_${fuName}", ifCommit(PopCount(commitIsFuType)), 1000, clock, reset)
13409aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType)))
13419aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency)))
13429aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency)))
13439aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency)))
13449aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency)))
13459aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency)))
13469aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency)))
13479aca92b9SYinan Xu    XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency)))
13489aca92b9SYinan Xu  }
13496087ee12SXuan Hu  XSPerfAccumulate(s"redirect_use_snapshot", io.redirect.valid && io.snpt.useSnpt)
13509aca92b9SYinan Xu
135160ebee38STang Haojin  // top-down info
135260ebee38STang Haojin  io.debugTopDown.toCore.robHeadVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid
135360ebee38STang Haojin  io.debugTopDown.toCore.robHeadVaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits
135460ebee38STang Haojin  io.debugTopDown.toCore.robHeadPaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid
135560ebee38STang Haojin  io.debugTopDown.toCore.robHeadPaddr.bits  := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits
135660ebee38STang Haojin  io.debugTopDown.toDispatch.robTrueCommit := ifCommitReg(trueCommitCnt)
135760ebee38STang Haojin  io.debugTopDown.toDispatch.robHeadLsIssue := debug_lsIssue(deqPtr.value)
135860ebee38STang Haojin  io.debugTopDown.robHeadLqIdx.valid := debug_lqIdxValid(deqPtr.value)
135960ebee38STang Haojin  io.debugTopDown.robHeadLqIdx.bits  := debug_microOp(deqPtr.value).lqIdx
13606ed1154eSTang Haojin
13617cf78eb2Shappy-lx  // rolling
13627cf78eb2Shappy-lx  io.debugRolling.robTrueCommit := ifCommitReg(trueCommitCnt)
13638744445eSMaxpicca-Li
13648744445eSMaxpicca-Li  /**
13658744445eSMaxpicca-Li    * DataBase info:
13668744445eSMaxpicca-Li    * log trigger is at writeback valid
13678744445eSMaxpicca-Li    * */
13688744445eSMaxpicca-Li
1369870f462dSXuan Hu  /**
1370870f462dSXuan Hu    * @todo add InstInfoEntry back
1371870f462dSXuan Hu    * @author Maxpicca-Li
1372870f462dSXuan Hu    */
13738744445eSMaxpicca-Li
13749aca92b9SYinan Xu  //difftest signals
1375f3034303SHaoyuan Feng  val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value
13769aca92b9SYinan Xu
13779aca92b9SYinan Xu  val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W)))
13789aca92b9SYinan Xu  val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W)))
1379cbe9a847SYinan Xu
13809aca92b9SYinan Xu  for(i <- 0 until CommitWidth) {
13819aca92b9SYinan Xu    val idx = deqPtrVec(i).value
13829aca92b9SYinan Xu    wdata(i) := debug_exuData(idx)
13833b739f49SXuan Hu    wpc(i) := SignExt(commitDebugUop(i).pc, XLEN)
13849aca92b9SYinan Xu  }
13859aca92b9SYinan Xu
13867d45a146SYinan Xu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1387cbe9a847SYinan Xu    // These are the structures used by difftest only and should be optimized after synthesis.
1388cbe9a847SYinan Xu    val dt_eliminatedMove = Mem(RobSize, Bool())
1389cbe9a847SYinan Xu    val dt_isRVC = Mem(RobSize, Bool())
1390cbe9a847SYinan Xu    val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle))
1391cbe9a847SYinan Xu    for (i <- 0 until RenameWidth) {
1392cbe9a847SYinan Xu      when (canEnqueue(i)) {
13936474c47fSYinan Xu        dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove
13943b739f49SXuan Hu        dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC
1395cbe9a847SYinan Xu      }
1396cbe9a847SYinan Xu    }
13973b739f49SXuan Hu    for (wb <- exuWBs) {
13986ab6918fSYinan Xu      when (wb.valid) {
13993b739f49SXuan Hu        val wbIdx = wb.bits.robIdx.value
14006ab6918fSYinan Xu        dt_exuDebug(wbIdx) := wb.bits.debug
1401cbe9a847SYinan Xu      }
1402cbe9a847SYinan Xu    }
1403cbe9a847SYinan Xu    // Always instantiate basic difftest modules.
1404cbe9a847SYinan Xu    for (i <- 0 until CommitWidth) {
1405f1ba628bSHaojin Tang      val uop = commitDebugUop(i)
1406cbe9a847SYinan Xu      val commitInfo = io.commits.info(i)
1407cbe9a847SYinan Xu      val ptr = deqPtrVec(i).value
1408cbe9a847SYinan Xu      val exuOut = dt_exuDebug(ptr)
1409cbe9a847SYinan Xu      val eliminatedMove = dt_eliminatedMove(ptr)
1410cbe9a847SYinan Xu      val isRVC = dt_isRVC(ptr)
1411cbe9a847SYinan Xu
141283ba63b3SXuan Hu      val difftest = DifftestModule(new DiffInstrCommit(MaxPhyPregs), delay = 3, dontCare = true)
14137d45a146SYinan Xu      difftest.coreid  := io.hartId
14147d45a146SYinan Xu      difftest.index   := i.U
14157d45a146SYinan Xu      difftest.valid   := io.commits.commitValid(i) && io.commits.isCommit
14167d45a146SYinan Xu      difftest.skip    := Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)
14177d45a146SYinan Xu      difftest.isRVC   := isRVC
14187d45a146SYinan Xu      difftest.rfwen   := io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U
14194b0d80d8SXuan Hu      difftest.fpwen   := io.commits.commitValid(i) && uop.fpWen
14207d45a146SYinan Xu      difftest.wpdest  := commitInfo.pdest
14217d45a146SYinan Xu      difftest.wdest   := commitInfo.ldest
14226ce10964SXuan Hu      difftest.nFused  := CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize - 1.U
14236ce10964SXuan Hu      when(difftest.valid) {
14246ce10964SXuan Hu        assert(CommitType.isFused(commitInfo.commitType).asUInt + commitInfo.instrSize >= 1.U)
14256ce10964SXuan Hu      }
14267d45a146SYinan Xu      if (env.EnableDifftest) {
14277d45a146SYinan Xu        val uop = commitDebugUop(i)
142883ba63b3SXuan Hu        difftest.pc       := SignExt(uop.pc, XLEN)
142983ba63b3SXuan Hu        difftest.instr    := uop.instr
14307d45a146SYinan Xu        difftest.robIdx   := ZeroExt(ptr, 10)
14317d45a146SYinan Xu        difftest.lqIdx    := ZeroExt(uop.lqIdx.value, 7)
14327d45a146SYinan Xu        difftest.sqIdx    := ZeroExt(uop.sqIdx.value, 7)
14337d45a146SYinan Xu        difftest.isLoad   := io.commits.info(i).commitType === CommitType.LOAD
14347d45a146SYinan Xu        difftest.isStore  := io.commits.info(i).commitType === CommitType.STORE
14357d45a146SYinan Xu      }
1436cbe9a847SYinan Xu    }
1437cbe9a847SYinan Xu  }
14389aca92b9SYinan Xu
14391545277aSYinan Xu  if (env.EnableDifftest) {
14409aca92b9SYinan Xu    for (i <- 0 until CommitWidth) {
14417d45a146SYinan Xu      val difftest = DifftestModule(new DiffLoadEvent, delay = 3)
14427d45a146SYinan Xu      difftest.coreid := io.hartId
14437d45a146SYinan Xu      difftest.index  := i.U
14449aca92b9SYinan Xu
14459aca92b9SYinan Xu      val ptr = deqPtrVec(i).value
14469aca92b9SYinan Xu      val uop = commitDebugUop(i)
14479aca92b9SYinan Xu      val exuOut = debug_exuDebug(ptr)
14487d45a146SYinan Xu      difftest.valid  := io.commits.commitValid(i) && io.commits.isCommit
14497d45a146SYinan Xu      difftest.paddr  := exuOut.paddr
14504b0d80d8SXuan Hu      difftest.opType := uop.fuOpType
14514b0d80d8SXuan Hu      difftest.fuType := uop.fuType
14529aca92b9SYinan Xu    }
14539aca92b9SYinan Xu  }
14549aca92b9SYinan Xu
14557d45a146SYinan Xu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1456cbe9a847SYinan Xu    val dt_isXSTrap = Mem(RobSize, Bool())
1457cbe9a847SYinan Xu    for (i <- 0 until RenameWidth) {
1458cbe9a847SYinan Xu      when (canEnqueue(i)) {
14593b739f49SXuan Hu        dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap
1460cbe9a847SYinan Xu      }
1461cbe9a847SYinan Xu    }
14627d45a146SYinan Xu    val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) =>
14637d45a146SYinan Xu      io.commits.isCommit && v && dt_isXSTrap(d.value)
14647d45a146SYinan Xu    }
1465cbe9a847SYinan Xu    val hitTrap = trapVec.reduce(_||_)
14667d45a146SYinan Xu    val difftest = DifftestModule(new DiffTrapEvent, dontCare = true)
14677d45a146SYinan Xu    difftest.coreid   := io.hartId
14687d45a146SYinan Xu    difftest.hasTrap  := hitTrap
14697d45a146SYinan Xu    difftest.cycleCnt := timer
14707d45a146SYinan Xu    difftest.instrCnt := instrCnt
14717d45a146SYinan Xu    difftest.hasWFI   := hasWFI
14727d45a146SYinan Xu
14737d45a146SYinan Xu    if (env.EnableDifftest) {
1474cbe9a847SYinan Xu      val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1))
1475cbe9a847SYinan Xu      val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN)
14767d45a146SYinan Xu      difftest.code     := trapCode
14777d45a146SYinan Xu      difftest.pc       := trapPC
14789aca92b9SYinan Xu    }
1479cbe9a847SYinan Xu  }
14801545277aSYinan Xu
1481dcf3a679STang Haojin  val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32))))
1482dcf3a679STang Haojin  val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _))
148343bdc4d9SYinan Xu  val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m })
148443bdc4d9SYinan Xu  val commitLoadVec = VecInit(commitLoadValid)
148543bdc4d9SYinan Xu  val commitBranchVec = VecInit(commitBranchValid)
148643bdc4d9SYinan Xu  val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w })
148743bdc4d9SYinan Xu  val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t })
1488cd365d4cSrvcoresjw  val perfEvents = Seq(
1489cd365d4cSrvcoresjw    ("rob_interrupt_num      ", io.flushOut.valid && intrEnable                                       ),
1490cd365d4cSrvcoresjw    ("rob_exception_num      ", io.flushOut.valid && exceptionEnable                                  ),
1491cd365d4cSrvcoresjw    ("rob_flush_pipe_num     ", io.flushOut.valid && isFlushPipe                                      ),
1492cd365d4cSrvcoresjw    ("rob_replay_inst_num    ", io.flushOut.valid && isFlushPipe && deqHasReplayInst                  ),
1493cd365d4cSrvcoresjw    ("rob_commitUop          ", ifCommit(commitCnt)                                                   ),
14947e8294acSYinan Xu    ("rob_commitInstr        ", ifCommitReg(trueCommitCnt)                                            ),
149543bdc4d9SYinan Xu    ("rob_commitInstrMove    ", ifCommitReg(PopCount(RegNext(commitMoveVec)))                         ),
14967e8294acSYinan Xu    ("rob_commitInstrFused   ", ifCommitReg(fuseCommitCnt)                                            ),
149743bdc4d9SYinan Xu    ("rob_commitInstrLoad    ", ifCommitReg(PopCount(RegNext(commitLoadVec)))                         ),
149843bdc4d9SYinan Xu    ("rob_commitInstrBranch  ", ifCommitReg(PopCount(RegNext(commitBranchVec)))                       ),
149943bdc4d9SYinan Xu    ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec)))                     ),
150043bdc4d9SYinan Xu    ("rob_commitInstrStore   ", ifCommitReg(PopCount(RegNext(commitStoreVec)))                        ),
15016474c47fSYinan Xu    ("rob_walkInstr          ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)           ),
1502ccfddc82SHaojin Tang    ("rob_walkCycle          ", (state === s_walk)                                                    ),
15037e8294acSYinan Xu    ("rob_1_4_valid          ", validEntries <= (RobSize / 4).U                                       ),
15047e8294acSYinan Xu    ("rob_2_4_valid          ", validEntries >  (RobSize / 4).U && validEntries <= (RobSize / 2).U    ),
15057e8294acSYinan Xu    ("rob_3_4_valid          ", validEntries >  (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U),
15067e8294acSYinan Xu    ("rob_4_4_valid          ", validEntries >  (RobSize * 3 / 4).U                                   ),
1507cd365d4cSrvcoresjw  )
15081ca0e4f3SYinan Xu  generatePerfEvent()
15099aca92b9SYinan Xu}
1510