19aca92b9SYinan Xu/*************************************************************************************** 29aca92b9SYinan Xu* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 39aca92b9SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 49aca92b9SYinan Xu* 59aca92b9SYinan Xu* XiangShan is licensed under Mulan PSL v2. 69aca92b9SYinan Xu* You can use this software according to the terms and conditions of the Mulan PSL v2. 79aca92b9SYinan Xu* You may obtain a copy of Mulan PSL v2 at: 89aca92b9SYinan Xu* http://license.coscl.org.cn/MulanPSL2 99aca92b9SYinan Xu* 109aca92b9SYinan Xu* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 119aca92b9SYinan Xu* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 129aca92b9SYinan Xu* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 139aca92b9SYinan Xu* 149aca92b9SYinan Xu* See the Mulan PSL v2 for more details. 159aca92b9SYinan Xu***************************************************************************************/ 169aca92b9SYinan Xu 179aca92b9SYinan Xupackage xiangshan.backend.rob 189aca92b9SYinan Xu 199aca92b9SYinan Xuimport chipsalliance.rocketchip.config.Parameters 209aca92b9SYinan Xuimport chisel3._ 219aca92b9SYinan Xuimport chisel3.util._ 229aca92b9SYinan Xuimport difftest._ 236ab6918fSYinan Xuimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 243c02ee8fSwakafaimport utility._ 253b739f49SXuan Huimport utils._ 266ab6918fSYinan Xuimport xiangshan._ 27730cfbc0SXuan Huimport xiangshan.backend.BackendParams 28d91483a6Sfdyimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 29f5cf71bbSxiaofeibao-xjtuimport xiangshan.backend.fu.{FuType, FuConfig} 306ab6918fSYinan Xuimport xiangshan.frontend.FtqPtr 31870f462dSXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 32730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DynInst, ExceptionInfo, ExuOutput} 33870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, DebugLsInfo, LsTopdownInfo} 34870f462dSXuan Huimport xiangshan.backend.rename.SnapshotGenerator 359aca92b9SYinan Xu 363b739f49SXuan Huclass RobPtr(entries: Int) extends CircularQueuePtr[RobPtr]( 373b739f49SXuan Hu entries 389aca92b9SYinan Xu) with HasCircularQueuePtrHelper { 399aca92b9SYinan Xu 403b739f49SXuan Hu def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RobSize) 413b739f49SXuan Hu 42f4b2089aSYinan Xu def needFlush(redirect: Valid[Redirect]): Bool = { 439aca92b9SYinan Xu val flushItself = redirect.bits.flushItself() && this === redirect.bits.robIdx 44f4b2089aSYinan Xu redirect.valid && (flushItself || isAfter(this, redirect.bits.robIdx)) 459aca92b9SYinan Xu } 469aca92b9SYinan Xu 470dc4893dSYinan Xu def needFlush(redirect: Seq[Valid[Redirect]]): Bool = VecInit(redirect.map(needFlush)).asUInt.orR 489aca92b9SYinan Xu} 499aca92b9SYinan Xu 509aca92b9SYinan Xuobject RobPtr { 519aca92b9SYinan Xu def apply(f: Bool, v: UInt)(implicit p: Parameters): RobPtr = { 529aca92b9SYinan Xu val ptr = Wire(new RobPtr) 539aca92b9SYinan Xu ptr.flag := f 549aca92b9SYinan Xu ptr.value := v 559aca92b9SYinan Xu ptr 569aca92b9SYinan Xu } 579aca92b9SYinan Xu} 589aca92b9SYinan Xu 599aca92b9SYinan Xuclass RobCSRIO(implicit p: Parameters) extends XSBundle { 609aca92b9SYinan Xu val intrBitSet = Input(Bool()) 619aca92b9SYinan Xu val trapTarget = Input(UInt(VAddrBits.W)) 629aca92b9SYinan Xu val isXRet = Input(Bool()) 635c95ea2eSYinan Xu val wfiEvent = Input(Bool()) 649aca92b9SYinan Xu 659aca92b9SYinan Xu val fflags = Output(Valid(UInt(5.W))) 66a8db15d8Sfdy val vxsat = Output(Valid(Bool())) 679aca92b9SYinan Xu val dirty_fs = Output(Bool()) 689aca92b9SYinan Xu val perfinfo = new Bundle { 699aca92b9SYinan Xu val retiredInstr = Output(UInt(3.W)) 709aca92b9SYinan Xu } 714aa9ed34Sfdy 724aa9ed34Sfdy val vcsrFlag = Output(Bool()) 739aca92b9SYinan Xu} 749aca92b9SYinan Xu 759aca92b9SYinan Xuclass RobLsqIO(implicit p: Parameters) extends XSBundle { 76cd365d4cSrvcoresjw val lcommit = Output(UInt(log2Up(CommitWidth + 1).W)) 77cd365d4cSrvcoresjw val scommit = Output(UInt(log2Up(CommitWidth + 1).W)) 789aca92b9SYinan Xu val pendingld = Output(Bool()) 799aca92b9SYinan Xu val pendingst = Output(Bool()) 809aca92b9SYinan Xu val commit = Output(Bool()) 81e4f69d78Ssfencevma val pendingPtr = Output(new RobPtr) 82e4f69d78Ssfencevma 83e4f69d78Ssfencevma val mmio = Input(Vec(LoadPipelineWidth, Bool())) 84dfb4c5dcSXuan Hu val uop = Input(Vec(LoadPipelineWidth, new DynInst)) 859aca92b9SYinan Xu} 869aca92b9SYinan Xu 879aca92b9SYinan Xuclass RobEnqIO(implicit p: Parameters) extends XSBundle { 889aca92b9SYinan Xu val canAccept = Output(Bool()) 899aca92b9SYinan Xu val isEmpty = Output(Bool()) 909aca92b9SYinan Xu // valid vector, for robIdx gen and walk 919aca92b9SYinan Xu val needAlloc = Vec(RenameWidth, Input(Bool())) 923b739f49SXuan Hu val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst))) 939aca92b9SYinan Xu val resp = Vec(RenameWidth, Output(new RobPtr)) 949aca92b9SYinan Xu} 959aca92b9SYinan Xu 9644369838SXuan Huclass RobDispatchData(implicit p: Parameters) extends RobCommitInfo {} 979aca92b9SYinan Xu 989aca92b9SYinan Xuclass RobDeqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 999aca92b9SYinan Xu val io = IO(new Bundle { 1009aca92b9SYinan Xu // for commits/flush 1019aca92b9SYinan Xu val state = Input(UInt(2.W)) 1029aca92b9SYinan Xu val deq_v = Vec(CommitWidth, Input(Bool())) 1039aca92b9SYinan Xu val deq_w = Vec(CommitWidth, Input(Bool())) 1049aca92b9SYinan Xu val exception_state = Flipped(ValidIO(new RobExceptionInfo)) 1059aca92b9SYinan Xu // for flush: when exception occurs, reset deqPtrs to range(0, CommitWidth) 1069aca92b9SYinan Xu val intrBitSetReg = Input(Bool()) 1079aca92b9SYinan Xu val hasNoSpecExec = Input(Bool()) 108e8009193SYinan Xu val interrupt_safe = Input(Bool()) 1096474c47fSYinan Xu val blockCommit = Input(Bool()) 1109aca92b9SYinan Xu // output: the CommitWidth deqPtr 1119aca92b9SYinan Xu val out = Vec(CommitWidth, Output(new RobPtr)) 1129aca92b9SYinan Xu val next_out = Vec(CommitWidth, Output(new RobPtr)) 1139aca92b9SYinan Xu }) 1149aca92b9SYinan Xu 1159aca92b9SYinan Xu val deqPtrVec = RegInit(VecInit((0 until CommitWidth).map(_.U.asTypeOf(new RobPtr)))) 1169aca92b9SYinan Xu 1179aca92b9SYinan Xu // for exceptions (flushPipe included) and interrupts: 1189aca92b9SYinan Xu // only consider the first instruction 1195c95ea2eSYinan Xu val intrEnable = io.intrBitSetReg && !io.hasNoSpecExec && io.interrupt_safe 120983f3e23SYinan Xu val exceptionEnable = io.deq_w(0) && io.exception_state.valid && io.exception_state.bits.not_commit && io.exception_state.bits.robIdx === deqPtrVec(0) 1219aca92b9SYinan Xu val redirectOutValid = io.state === 0.U && io.deq_v(0) && (intrEnable || exceptionEnable) 1229aca92b9SYinan Xu 1239aca92b9SYinan Xu // for normal commits: only to consider when there're no exceptions 1249aca92b9SYinan Xu // we don't need to consider whether the first instruction has exceptions since it wil trigger exceptions. 1259aca92b9SYinan Xu val commit_exception = io.exception_state.valid && !isAfter(io.exception_state.bits.robIdx, deqPtrVec.last) 1266474c47fSYinan Xu val canCommit = VecInit((0 until CommitWidth).map(i => io.deq_v(i) && io.deq_w(i))) 1279aca92b9SYinan Xu val normalCommitCnt = PriorityEncoder(canCommit.map(c => !c) :+ true.B) 128f4b2089aSYinan Xu // when io.intrBitSetReg or there're possible exceptions in these instructions, 129f4b2089aSYinan Xu // only one instruction is allowed to commit 1309aca92b9SYinan Xu val allowOnlyOne = commit_exception || io.intrBitSetReg 1319aca92b9SYinan Xu val commitCnt = Mux(allowOnlyOne, canCommit(0), normalCommitCnt) 1329aca92b9SYinan Xu 1339aca92b9SYinan Xu val commitDeqPtrVec = VecInit(deqPtrVec.map(_ + commitCnt)) 1346474c47fSYinan Xu val deqPtrVec_next = Mux(io.state === 0.U && !redirectOutValid && !io.blockCommit, commitDeqPtrVec, deqPtrVec) 1359aca92b9SYinan Xu 1369aca92b9SYinan Xu deqPtrVec := deqPtrVec_next 1379aca92b9SYinan Xu 1389aca92b9SYinan Xu io.next_out := deqPtrVec_next 1399aca92b9SYinan Xu io.out := deqPtrVec 1409aca92b9SYinan Xu 1419aca92b9SYinan Xu when (io.state === 0.U) { 1429aca92b9SYinan Xu XSInfo(io.state === 0.U && commitCnt > 0.U, "retired %d insts\n", commitCnt) 1439aca92b9SYinan Xu } 1449aca92b9SYinan Xu 1459aca92b9SYinan Xu} 1469aca92b9SYinan Xu 1479aca92b9SYinan Xuclass RobEnqPtrWrapper(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 1489aca92b9SYinan Xu val io = IO(new Bundle { 1499aca92b9SYinan Xu // for input redirect 1509aca92b9SYinan Xu val redirect = Input(Valid(new Redirect)) 1519aca92b9SYinan Xu // for enqueue 1529aca92b9SYinan Xu val allowEnqueue = Input(Bool()) 1539aca92b9SYinan Xu val hasBlockBackward = Input(Bool()) 1549aca92b9SYinan Xu val enq = Vec(RenameWidth, Input(Bool())) 1556474c47fSYinan Xu val out = Output(Vec(RenameWidth, new RobPtr)) 1569aca92b9SYinan Xu }) 1579aca92b9SYinan Xu 1586474c47fSYinan Xu val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(_.U.asTypeOf(new RobPtr))) 1599aca92b9SYinan Xu 1609aca92b9SYinan Xu // enqueue 1619aca92b9SYinan Xu val canAccept = io.allowEnqueue && !io.hasBlockBackward 162f4b2089aSYinan Xu val dispatchNum = Mux(canAccept, PopCount(io.enq), 0.U) 1639aca92b9SYinan Xu 1646474c47fSYinan Xu for ((ptr, i) <- enqPtrVec.zipWithIndex) { 165f4b2089aSYinan Xu when(io.redirect.valid) { 1666474c47fSYinan Xu ptr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 1679aca92b9SYinan Xu }.otherwise { 1686474c47fSYinan Xu ptr := ptr + dispatchNum 1696474c47fSYinan Xu } 1709aca92b9SYinan Xu } 1719aca92b9SYinan Xu 1726474c47fSYinan Xu io.out := enqPtrVec 1739aca92b9SYinan Xu 1749aca92b9SYinan Xu} 1759aca92b9SYinan Xu 1769aca92b9SYinan Xuclass RobExceptionInfo(implicit p: Parameters) extends XSBundle { 1779aca92b9SYinan Xu // val valid = Bool() 1789aca92b9SYinan Xu val robIdx = new RobPtr 1799aca92b9SYinan Xu val exceptionVec = ExceptionVec() 1809aca92b9SYinan Xu val flushPipe = Bool() 1814aa9ed34Sfdy val isVset = Bool() 1829aca92b9SYinan Xu val replayInst = Bool() // redirect to that inst itself 18384e47f35SLi Qianruo val singleStep = Bool() // TODO add frontend hit beneath 184c3abb8b6SYinan Xu val crossPageIPFFix = Bool() 18572951335SLi Qianruo val trigger = new TriggerCf 1869aca92b9SYinan Xu 18784e47f35SLi Qianruo// def trigger_before = !trigger.getTimingBackend && trigger.getHitBackend 18884e47f35SLi Qianruo// def trigger_after = trigger.getTimingBackend && trigger.getHitBackend 189ddb65c47SLi Qianruo def has_exception = exceptionVec.asUInt.orR || flushPipe || singleStep || replayInst || trigger.hit 190983f3e23SYinan Xu def not_commit = exceptionVec.asUInt.orR || singleStep || replayInst || trigger.hit 1919aca92b9SYinan Xu // only exceptions are allowed to writeback when enqueue 192ddb65c47SLi Qianruo def can_writeback = exceptionVec.asUInt.orR || singleStep || trigger.hit 1939aca92b9SYinan Xu} 1949aca92b9SYinan Xu 1953b739f49SXuan Huclass ExceptionGen(params: BackendParams)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 1969aca92b9SYinan Xu val io = IO(new Bundle { 1979aca92b9SYinan Xu val redirect = Input(Valid(new Redirect)) 1989aca92b9SYinan Xu val flush = Input(Bool()) 1999aca92b9SYinan Xu val enq = Vec(RenameWidth, Flipped(ValidIO(new RobExceptionInfo))) 2003b739f49SXuan Hu // csr + load + store 2013b739f49SXuan Hu val wb = Vec(params.numException, Flipped(ValidIO(new RobExceptionInfo))) 2029aca92b9SYinan Xu val out = ValidIO(new RobExceptionInfo) 2039aca92b9SYinan Xu val state = ValidIO(new RobExceptionInfo) 2049aca92b9SYinan Xu }) 2059aca92b9SYinan Xu 20699bd2aafSHaojin Tang val wbExuParams = params.allExuParams.filter(_.exceptionOut.nonEmpty) 20799bd2aafSHaojin Tang 20899bd2aafSHaojin Tang def getOldest(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): RobExceptionInfo = { 20999bd2aafSHaojin Tang def getOldest_recursion(valid: Seq[Bool], bits: Seq[RobExceptionInfo]): (Seq[Bool], Seq[RobExceptionInfo]) = { 21046f74b57SHaojin Tang assert(valid.length == bits.length) 21146f74b57SHaojin Tang if (valid.length == 1) { 21246f74b57SHaojin Tang (valid, bits) 21346f74b57SHaojin Tang } else if (valid.length == 2) { 21446f74b57SHaojin Tang val res = Seq.fill(2)(Wire(ValidIO(chiselTypeOf(bits(0))))) 21546f74b57SHaojin Tang for (i <- res.indices) { 21646f74b57SHaojin Tang res(i).valid := valid(i) 21746f74b57SHaojin Tang res(i).bits := bits(i) 21846f74b57SHaojin Tang } 21946f74b57SHaojin Tang val oldest = Mux(!valid(1) || valid(0) && isAfter(bits(1).robIdx, bits(0).robIdx), res(0), res(1)) 22046f74b57SHaojin Tang (Seq(oldest.valid), Seq(oldest.bits)) 22146f74b57SHaojin Tang } else { 22299bd2aafSHaojin Tang val left = getOldest_recursion(valid.take(valid.length / 2), bits.take(valid.length / 2)) 22399bd2aafSHaojin Tang val right = getOldest_recursion(valid.drop(valid.length / 2), bits.drop(valid.length / 2)) 22499bd2aafSHaojin Tang getOldest_recursion(left._1 ++ right._1, left._2 ++ right._2) 22546f74b57SHaojin Tang } 22646f74b57SHaojin Tang } 22799bd2aafSHaojin Tang getOldest_recursion(valid, bits)._2.head 22899bd2aafSHaojin Tang } 22999bd2aafSHaojin Tang 23046f74b57SHaojin Tang 23167ba96b4SYinan Xu val currentValid = RegInit(false.B) 23267ba96b4SYinan Xu val current = Reg(new RobExceptionInfo) 2339aca92b9SYinan Xu 2349aca92b9SYinan Xu // orR the exceptionVec 2359aca92b9SYinan Xu val lastCycleFlush = RegNext(io.flush) 2369aca92b9SYinan Xu val in_enq_valid = VecInit(io.enq.map(e => e.valid && e.bits.has_exception && !lastCycleFlush)) 2379aca92b9SYinan Xu 23899bd2aafSHaojin Tang // s0: compare wb in 4 groups 23999bd2aafSHaojin Tang val csrvldu_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isCsr || t.fuType == FuType.vldu).nonEmpty).map(_._1) 24099bd2aafSHaojin Tang val load_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.fuType == FuType.ldu).nonEmpty).map(_._1) 24199bd2aafSHaojin Tang val store_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(t => t.isSta || t.fuType == FuType.mou).nonEmpty).map(_._1) 24299bd2aafSHaojin Tang val varith_wb = io.wb.zip(wbExuParams).filter(_._2.fuConfigs.filter(_.isVecArith).nonEmpty).map(_._1) 24399bd2aafSHaojin Tang // TODO: vsta_wb = ??? 2449aca92b9SYinan Xu 24599bd2aafSHaojin Tang val writebacks = Seq(csrvldu_wb, load_wb, store_wb, varith_wb) 24699bd2aafSHaojin Tang val in_wb_valids = writebacks.map(_.map(w => w.valid && w.bits.has_exception && !lastCycleFlush)) 24799bd2aafSHaojin Tang val wb_valid = in_wb_valids.zip(writebacks).map { case (valid, wb) => 24899bd2aafSHaojin Tang valid.zip(wb.map(_.bits)).map { case (v, bits) => v && !(bits.robIdx.needFlush(io.redirect) || io.flush) }.reduce(_ || _) 24999bd2aafSHaojin Tang } 25099bd2aafSHaojin Tang val wb_bits = in_wb_valids.zip(writebacks).map { case (valid, wb) => getOldest(valid, wb.map(_.bits))} 25199bd2aafSHaojin Tang 25299bd2aafSHaojin Tang val s0_out_valid = wb_valid.map(x => RegNext(x)) 25399bd2aafSHaojin Tang val s0_out_bits = wb_bits.map(x => RegNext(x)) 25499bd2aafSHaojin Tang 25599bd2aafSHaojin Tang // s1: compare last four and current flush 25699bd2aafSHaojin Tang val s1_valid = VecInit(s0_out_valid.zip(s0_out_bits).map{ case (v, b) => v && !(b.robIdx.needFlush(io.redirect) || io.flush) }) 25799bd2aafSHaojin Tang val s1_out_bits = RegNext(getOldest(s0_out_valid, s0_out_bits)) 25899bd2aafSHaojin Tang val s1_out_valid = RegNext(s1_valid.asUInt.orR) 2599aca92b9SYinan Xu 2609aca92b9SYinan Xu val enq_valid = RegNext(in_enq_valid.asUInt.orR && !io.redirect.valid && !io.flush) 2619aca92b9SYinan Xu val enq_bits = RegNext(ParallelPriorityMux(in_enq_valid, io.enq.map(_.bits))) 2629aca92b9SYinan Xu 2639aca92b9SYinan Xu // s2: compare the input exception with the current one 2649aca92b9SYinan Xu // priorities: 2659aca92b9SYinan Xu // (1) system reset 2669aca92b9SYinan Xu // (2) current is valid: flush, remain, merge, update 2679aca92b9SYinan Xu // (3) current is not valid: s1 or enq 26867ba96b4SYinan Xu val current_flush = current.robIdx.needFlush(io.redirect) || io.flush 269f4b2089aSYinan Xu val s1_flush = s1_out_bits.robIdx.needFlush(io.redirect) || io.flush 27067ba96b4SYinan Xu when (currentValid) { 2719aca92b9SYinan Xu when (current_flush) { 27267ba96b4SYinan Xu currentValid := Mux(s1_flush, false.B, s1_out_valid) 2739aca92b9SYinan Xu } 2749aca92b9SYinan Xu when (s1_out_valid && !s1_flush) { 27567ba96b4SYinan Xu when (isAfter(current.robIdx, s1_out_bits.robIdx)) { 27667ba96b4SYinan Xu current := s1_out_bits 27767ba96b4SYinan Xu }.elsewhen (current.robIdx === s1_out_bits.robIdx) { 27867ba96b4SYinan Xu current.exceptionVec := (s1_out_bits.exceptionVec.asUInt | current.exceptionVec.asUInt).asTypeOf(ExceptionVec()) 27967ba96b4SYinan Xu current.flushPipe := s1_out_bits.flushPipe || current.flushPipe 28067ba96b4SYinan Xu current.replayInst := s1_out_bits.replayInst || current.replayInst 28167ba96b4SYinan Xu current.singleStep := s1_out_bits.singleStep || current.singleStep 28267ba96b4SYinan Xu current.trigger := (s1_out_bits.trigger.asUInt | current.trigger.asUInt).asTypeOf(new TriggerCf) 2839aca92b9SYinan Xu } 2849aca92b9SYinan Xu } 2859aca92b9SYinan Xu }.elsewhen (s1_out_valid && !s1_flush) { 28667ba96b4SYinan Xu currentValid := true.B 28767ba96b4SYinan Xu current := s1_out_bits 2889aca92b9SYinan Xu }.elsewhen (enq_valid && !(io.redirect.valid || io.flush)) { 28967ba96b4SYinan Xu currentValid := true.B 29067ba96b4SYinan Xu current := enq_bits 2919aca92b9SYinan Xu } 2929aca92b9SYinan Xu 2939aca92b9SYinan Xu io.out.valid := s1_out_valid || enq_valid && enq_bits.can_writeback 2949aca92b9SYinan Xu io.out.bits := Mux(s1_out_valid, s1_out_bits, enq_bits) 29567ba96b4SYinan Xu io.state.valid := currentValid 29667ba96b4SYinan Xu io.state.bits := current 2979aca92b9SYinan Xu 2989aca92b9SYinan Xu} 2999aca92b9SYinan Xu 3009aca92b9SYinan Xuclass RobFlushInfo(implicit p: Parameters) extends XSBundle { 3019aca92b9SYinan Xu val ftqIdx = new FtqPtr 302f4b2089aSYinan Xu val robIdx = new RobPtr 3039aca92b9SYinan Xu val ftqOffset = UInt(log2Up(PredictWidth).W) 3049aca92b9SYinan Xu val replayInst = Bool() 3059aca92b9SYinan Xu} 3069aca92b9SYinan Xu 3073b739f49SXuan Huclass Rob(params: BackendParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 3086ab6918fSYinan Xu 3093b739f49SXuan Hu lazy val module = new RobImp(this)(p, params) 3106ab6918fSYinan Xu} 3116ab6918fSYinan Xu 3123b739f49SXuan Huclass RobImp(override val wrapper: Rob)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) 3131ca0e4f3SYinan Xu with HasXSParameter with HasCircularQueuePtrHelper with HasPerfEvents { 3146ab6918fSYinan Xu 315870f462dSXuan Hu private val LduCnt = params.LduCnt 316870f462dSXuan Hu private val StaCnt = params.StaCnt 317870f462dSXuan Hu 3189aca92b9SYinan Xu val io = IO(new Bundle() { 3195668a921SJiawei Lin val hartId = Input(UInt(8.W)) 3209aca92b9SYinan Xu val redirect = Input(Valid(new Redirect)) 3219aca92b9SYinan Xu val enq = new RobEnqIO 322f4b2089aSYinan Xu val flushOut = ValidIO(new Redirect) 3239aca92b9SYinan Xu val exception = ValidIO(new ExceptionInfo) 3249aca92b9SYinan Xu // exu + brq 3253b739f49SXuan Hu val writeback: MixedVec[ValidIO[ExuOutput]] = Flipped(params.genWrite2CtrlBundles) 326ccfddc82SHaojin Tang val commits = Output(new RobCommitIO) 327a8db15d8Sfdy val rabCommits = Output(new RobCommitIO) 328a8db15d8Sfdy val diffCommits = Output(new DiffCommitIO) 329a8db15d8Sfdy val isVsetFlushPipe = Output(Bool()) 330a8db15d8Sfdy val vconfigPdest = Output(UInt(PhyRegIdxWidth.W)) 3319aca92b9SYinan Xu val lsq = new RobLsqIO 3329aca92b9SYinan Xu val robDeqPtr = Output(new RobPtr) 3339aca92b9SYinan Xu val csr = new RobCSRIO 334fa7f2c26STang Haojin val snpt = Input(new SnapshotPort) 3359aca92b9SYinan Xu val robFull = Output(Bool()) 336d2b20d1aSTang Haojin val headNotReady = Output(Bool()) 337b6900d94SYinan Xu val cpu_halt = Output(Bool()) 33809309bdbSYinan Xu val wfi_enable = Input(Bool()) 3398744445eSMaxpicca-Li val debug_ls = Flipped(new DebugLSIO) 340870f462dSXuan Hu val debugRobHead = Output(new DynInst) 341d2b20d1aSTang Haojin val debugEnqLsq = Input(new LsqEnqIO) 342d2b20d1aSTang Haojin val debugHeadLsIssue = Input(Bool()) 343870f462dSXuan Hu val lsTopdownInfo = Vec(LduCnt, Input(new LsTopdownInfo)) 3449aca92b9SYinan Xu }) 3459aca92b9SYinan Xu 346124bf66aSXuan Hu val exuWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(!_.bits.params.hasStdFu) 347124bf66aSXuan Hu val stdWBs: Seq[ValidIO[ExuOutput]] = io.writeback.filter(_.bits.params.hasStdFu) 3483b739f49SXuan Hu val fflagsWBs = io.writeback.filter(x => x.bits.fflags.nonEmpty) 3493b739f49SXuan Hu val exceptionWBs = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 3503b739f49SXuan Hu val redirectWBs = io.writeback.filter(x => x.bits.redirect.nonEmpty) 3513b739f49SXuan Hu 3523b739f49SXuan Hu val exuWbPorts = io.writeback.filter(!_.bits.params.hasStdFu) 3533b739f49SXuan Hu val stdWbPorts = io.writeback.filter(_.bits.params.hasStdFu) 3543b739f49SXuan Hu val fflagsPorts = io.writeback.filter(x => x.bits.fflags.nonEmpty) 355a8db15d8Sfdy val vxsatPorts = io.writeback.filter(x => x.bits.vxsat.nonEmpty) 3563b739f49SXuan Hu val exceptionPorts = io.writeback.filter(x => x.bits.exceptionVec.nonEmpty) 3573b739f49SXuan Hu val numExuWbPorts = exuWBs.length 3583b739f49SXuan Hu val numStdWbPorts = stdWBs.length 3596ab6918fSYinan Xu 3606ab6918fSYinan Xu 3613b739f49SXuan Hu println(s"Rob: size $RobSize, numExuWbPorts: $numExuWbPorts, numStdWbPorts: $numStdWbPorts, commitwidth: $CommitWidth") 3623b739f49SXuan Hu// println(s"exuPorts: ${exuWbPorts.map(_._1.map(_.name))}") 3633b739f49SXuan Hu// println(s"stdPorts: ${stdWbPorts.map(_._1.map(_.name))}") 3643b739f49SXuan Hu// println(s"fflags: ${fflagsPorts.map(_._1.map(_.name))}") 3653b739f49SXuan Hu 3669aca92b9SYinan Xu 3679aca92b9SYinan Xu // instvalid field 36843bdc4d9SYinan Xu val valid = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 3699aca92b9SYinan Xu // writeback status 370a8db15d8Sfdy 371f1e8fcb2SXuan Hu val stdWritebacked = Reg(Vec(RobSize, Bool())) 372f1e8fcb2SXuan Hu val uopNumVec = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 373a8db15d8Sfdy val realDestSize = RegInit(VecInit(Seq.fill(RobSize)(0.U(log2Up(MaxUopSize + 1).W)))) 374a8db15d8Sfdy val fflagsDataModule = RegInit(VecInit(Seq.fill(RobSize)(0.U(5.W)))) 375a8db15d8Sfdy val vxsatDataModule = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 376a8db15d8Sfdy 377a8db15d8Sfdy def isWritebacked(ptr: UInt): Bool = { 378f1e8fcb2SXuan Hu !uopNumVec(ptr).orR && stdWritebacked(ptr) 379a8db15d8Sfdy } 380a8db15d8Sfdy 381af4bdb08SXuan Hu def isUopWritebacked(ptr: UInt): Bool = { 382af4bdb08SXuan Hu !uopNumVec(ptr).orR 383af4bdb08SXuan Hu } 384af4bdb08SXuan Hu 385e4f69d78Ssfencevma val mmio = RegInit(VecInit(Seq.fill(RobSize)(false.B))) 38668d13085SXuan Hu 3879aca92b9SYinan Xu // data for redirect, exception, etc. 3889aca92b9SYinan Xu val flagBkup = Mem(RobSize, Bool()) 389e8009193SYinan Xu // some instructions are not allowed to trigger interrupts 390e8009193SYinan Xu // They have side effects on the states of the processor before they write back 391e8009193SYinan Xu val interrupt_safe = Mem(RobSize, Bool()) 3929aca92b9SYinan Xu 3939aca92b9SYinan Xu // data for debug 3949aca92b9SYinan Xu // Warn: debug_* prefix should not exist in generated verilog. 395d91483a6Sfdy val debug_microOp = Mem(RobSize, new DynInst) 3969aca92b9SYinan Xu val debug_exuData = Reg(Vec(RobSize, UInt(XLEN.W)))//for debug 3979aca92b9SYinan Xu val debug_exuDebug = Reg(Vec(RobSize, new DebugBundle))//for debug 3988744445eSMaxpicca-Li val debug_lsInfo = RegInit(VecInit(Seq.fill(RobSize)(DebugLsInfo.init))) 399d2b20d1aSTang Haojin val debug_lsTopdownInfo = RegInit(VecInit(Seq.fill(RobSize)(LsTopdownInfo.init))) 400d2b20d1aSTang Haojin val debug_lqIdxValid = RegInit(VecInit.fill(RobSize)(false.B)) 401d2b20d1aSTang Haojin val debug_lsIssued = RegInit(VecInit.fill(RobSize)(false.B)) 4029aca92b9SYinan Xu 4039aca92b9SYinan Xu // pointers 4049aca92b9SYinan Xu // For enqueue ptr, we don't duplicate it since only enqueue needs it. 4056474c47fSYinan Xu val enqPtrVec = Wire(Vec(RenameWidth, new RobPtr)) 4069aca92b9SYinan Xu val deqPtrVec = Wire(Vec(CommitWidth, new RobPtr)) 4079aca92b9SYinan Xu 4089aca92b9SYinan Xu val walkPtrVec = Reg(Vec(CommitWidth, new RobPtr)) 409dcf3a679STang Haojin val lastWalkPtr = Reg(new RobPtr) 4109aca92b9SYinan Xu val allowEnqueue = RegInit(true.B) 4119aca92b9SYinan Xu 4126474c47fSYinan Xu val enqPtr = enqPtrVec.head 4139aca92b9SYinan Xu val deqPtr = deqPtrVec(0) 4149aca92b9SYinan Xu val walkPtr = walkPtrVec(0) 4159aca92b9SYinan Xu 4169aca92b9SYinan Xu val isEmpty = enqPtr === deqPtr 4179aca92b9SYinan Xu val isReplaying = io.redirect.valid && RedirectLevel.flushItself(io.redirect.bits.level) 4189aca92b9SYinan Xu 419fa7f2c26STang Haojin val snptEnq = io.enq.canAccept && io.enq.req.head.valid && io.enq.req.head.bits.snapshot 420fa7f2c26STang Haojin val snapshots = SnapshotGenerator(enqPtrVec, snptEnq, io.snpt.snptDeq, io.redirect.valid) 421d2b20d1aSTang Haojin val debug_lsIssue = WireDefault(debug_lsIssued) 422d2b20d1aSTang Haojin debug_lsIssue(deqPtr.value) := io.debugHeadLsIssue 423d2b20d1aSTang Haojin 4249aca92b9SYinan Xu /** 4259aca92b9SYinan Xu * states of Rob 4269aca92b9SYinan Xu */ 427ccfddc82SHaojin Tang val s_idle :: s_walk :: Nil = Enum(2) 4289aca92b9SYinan Xu val state = RegInit(s_idle) 4299aca92b9SYinan Xu 4309aca92b9SYinan Xu /** 4319aca92b9SYinan Xu * Data Modules 4329aca92b9SYinan Xu * 4339aca92b9SYinan Xu * CommitDataModule: data from dispatch 4349aca92b9SYinan Xu * (1) read: commits/walk/exception 4359aca92b9SYinan Xu * (2) write: enqueue 4369aca92b9SYinan Xu * 4379aca92b9SYinan Xu * WritebackData: data from writeback 4389aca92b9SYinan Xu * (1) read: commits/walk/exception 4399aca92b9SYinan Xu * (2) write: write back from exe units 4409aca92b9SYinan Xu */ 44144369838SXuan Hu val dispatchData = Module(new SyncDataModuleTemplate(new RobDispatchData, RobSize, CommitWidth, RenameWidth)) 4429aca92b9SYinan Xu val dispatchDataRead = dispatchData.io.rdata 4439aca92b9SYinan Xu 4443b739f49SXuan Hu val exceptionGen = Module(new ExceptionGen(params)) 4459aca92b9SYinan Xu val exceptionDataRead = exceptionGen.io.state 4469aca92b9SYinan Xu val fflagsDataRead = Wire(Vec(CommitWidth, UInt(5.W))) 447a8db15d8Sfdy val vxsatDataRead = Wire(Vec(CommitWidth, Bool())) 4489aca92b9SYinan Xu 4499aca92b9SYinan Xu io.robDeqPtr := deqPtr 450d2b20d1aSTang Haojin io.debugRobHead := debug_microOp(deqPtr.value) 4519aca92b9SYinan Xu 452a8db15d8Sfdy val rab = Module(new RenameBuffer(RabSize)) 45344369838SXuan Hu 45444369838SXuan Hu rab.io.redirect.valid := io.redirect.valid 45544369838SXuan Hu 456a8db15d8Sfdy rab.io.req.zip(io.enq.req).map { case (dest, src) => 457a8db15d8Sfdy dest.bits := src.bits 458a8db15d8Sfdy dest.valid := src.valid && io.enq.canAccept 459a8db15d8Sfdy } 460a8db15d8Sfdy 46144369838SXuan Hu val commitDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(deqPtrVec(i).value)) 46244369838SXuan Hu val walkDestSizeSeq = (0 until CommitWidth).map(i => realDestSize(walkPtrVec(i).value)) 46344369838SXuan Hu 46444369838SXuan Hu val commitSizeSum = io.commits.commitValid.zip(commitDestSizeSeq).map { case (commitValid, destSize) => 46544369838SXuan Hu Mux(io.commits.isCommit && commitValid, destSize, 0.U) 46644369838SXuan Hu }.reduce(_ +& _) 46744369838SXuan Hu val walkSizeSum = io.commits.walkValid.zip(walkDestSizeSeq).map { case (walkValid, destSize) => 46844369838SXuan Hu Mux(io.commits.isWalk && walkValid, destSize, 0.U) 46944369838SXuan Hu }.reduce(_ +& _) 47044369838SXuan Hu 47165f65924SXuan Hu rab.io.fromRob.commitSize := commitSizeSum 47265f65924SXuan Hu rab.io.fromRob.walkSize := walkSizeSum 47344369838SXuan Hu rab.io.snpt.snptEnq := false.B 47444369838SXuan Hu rab.io.snpt.snptDeq := io.snpt.snptDeq 47544369838SXuan Hu rab.io.snpt.snptSelect := io.snpt.snptSelect 47644369838SXuan Hu rab.io.snpt.useSnpt := io.snpt.useSnpt 477a8db15d8Sfdy 478a8db15d8Sfdy io.rabCommits := rab.io.commits 479a8db15d8Sfdy io.diffCommits := rab.io.diffCommits 480a8db15d8Sfdy 4819aca92b9SYinan Xu /** 4829aca92b9SYinan Xu * Enqueue (from dispatch) 4839aca92b9SYinan Xu */ 4849aca92b9SYinan Xu // special cases 4859aca92b9SYinan Xu val hasBlockBackward = RegInit(false.B) 4863b739f49SXuan Hu val hasWaitForward = RegInit(false.B) 487af2f7849Shappy-lx val doingSvinval = RegInit(false.B) 4889aca92b9SYinan Xu // When blockBackward instruction leaves Rob (commit or walk), hasBlockBackward should be set to false.B 4899aca92b9SYinan Xu // To reduce registers usage, for hasBlockBackward cases, we allow enqueue after ROB is empty. 4909aca92b9SYinan Xu when (isEmpty) { hasBlockBackward:= false.B } 4919aca92b9SYinan Xu // When any instruction commits, hasNoSpecExec should be set to false.B 4923b739f49SXuan Hu when (io.commits.hasWalkInstr || io.commits.hasCommitInstr) { hasWaitForward:= false.B } 4935c95ea2eSYinan Xu 4945c95ea2eSYinan Xu // The wait-for-interrupt (WFI) instruction waits in the ROB until an interrupt might need servicing. 4955c95ea2eSYinan Xu // io.csr.wfiEvent will be asserted if the WFI can resume execution, and we change the state to s_wfi_idle. 4965c95ea2eSYinan Xu // It does not affect how interrupts are serviced. Note that WFI is noSpecExec and it does not trigger interrupts. 4975c95ea2eSYinan Xu val hasWFI = RegInit(false.B) 4985c95ea2eSYinan Xu io.cpu_halt := hasWFI 499342656a5SYinan Xu // WFI Timeout: 2^20 = 1M cycles 500342656a5SYinan Xu val wfi_cycles = RegInit(0.U(20.W)) 501342656a5SYinan Xu when (hasWFI) { 502342656a5SYinan Xu wfi_cycles := wfi_cycles + 1.U 503342656a5SYinan Xu }.elsewhen (!hasWFI && RegNext(hasWFI)) { 504342656a5SYinan Xu wfi_cycles := 0.U 505342656a5SYinan Xu } 506342656a5SYinan Xu val wfi_timeout = wfi_cycles.andR 507342656a5SYinan Xu when (RegNext(RegNext(io.csr.wfiEvent)) || io.flushOut.valid || wfi_timeout) { 5085c95ea2eSYinan Xu hasWFI := false.B 509b6900d94SYinan Xu } 5109aca92b9SYinan Xu 511a8db15d8Sfdy val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(io.enq.req.take(i).map(req => req.valid && req.bits.firstUop))))) 512a8db15d8Sfdy io.enq.canAccept := allowEnqueue && !hasBlockBackward && rab.io.canEnq 5136474c47fSYinan Xu io.enq.resp := allocatePtrVec 514a8db15d8Sfdy val canEnqueue = VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop && io.enq.canAccept)) 5159aca92b9SYinan Xu val timer = GTimer() 5169aca92b9SYinan Xu for (i <- 0 until RenameWidth) { 5179aca92b9SYinan Xu // we don't check whether io.redirect is valid here since redirect has higher priority 5189aca92b9SYinan Xu when (canEnqueue(i)) { 5196ab6918fSYinan Xu val enqUop = io.enq.req(i).bits 5206474c47fSYinan Xu val enqIndex = allocatePtrVec(i).value 5219aca92b9SYinan Xu // store uop in data module and debug_microOp Vec 5226474c47fSYinan Xu debug_microOp(enqIndex) := enqUop 5236474c47fSYinan Xu debug_microOp(enqIndex).debugInfo.dispatchTime := timer 5246474c47fSYinan Xu debug_microOp(enqIndex).debugInfo.enqRsTime := timer 5256474c47fSYinan Xu debug_microOp(enqIndex).debugInfo.selectTime := timer 5266474c47fSYinan Xu debug_microOp(enqIndex).debugInfo.issueTime := timer 5276474c47fSYinan Xu debug_microOp(enqIndex).debugInfo.writebackTime := timer 5288744445eSMaxpicca-Li debug_microOp(enqIndex).debugInfo.tlbFirstReqTime := timer 5298744445eSMaxpicca-Li debug_microOp(enqIndex).debugInfo.tlbRespTime := timer 5308744445eSMaxpicca-Li debug_lsInfo(enqIndex) := DebugLsInfo.init 531d2b20d1aSTang Haojin debug_lsTopdownInfo(enqIndex) := LsTopdownInfo.init 532d2b20d1aSTang Haojin debug_lqIdxValid(enqIndex) := false.B 533d2b20d1aSTang Haojin debug_lsIssued(enqIndex) := false.B 534c61abc0cSXuan Hu 5353b739f49SXuan Hu when (enqUop.blockBackward) { 5369aca92b9SYinan Xu hasBlockBackward := true.B 5379aca92b9SYinan Xu } 5383b739f49SXuan Hu when (enqUop.waitForward) { 5393b739f49SXuan Hu hasWaitForward := true.B 5409aca92b9SYinan Xu } 5413b739f49SXuan Hu val enqHasTriggerHit = false.B // io.enq.req(i).bits.cf.trigger.getHitFrontend 5423b739f49SXuan Hu val enqHasException = ExceptionNO.selectFrontend(enqUop.exceptionVec).asUInt.orR 543af2f7849Shappy-lx // the begin instruction of Svinval enqs so mark doingSvinval as true to indicate this process 5443b739f49SXuan Hu when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalBegin(enqUop.flushPipe)) 545af2f7849Shappy-lx { 546af2f7849Shappy-lx doingSvinval := true.B 547af2f7849Shappy-lx } 548af2f7849Shappy-lx // the end instruction of Svinval enqs so clear doingSvinval 5493b739f49SXuan Hu when(!enqHasTriggerHit && !enqHasException && enqUop.isSvinvalEnd(enqUop.flushPipe)) 550af2f7849Shappy-lx { 551af2f7849Shappy-lx doingSvinval := false.B 552af2f7849Shappy-lx } 553af2f7849Shappy-lx // when we are in the process of Svinval software code area , only Svinval.vma and end instruction of Svinval can appear 5543b739f49SXuan Hu assert(!doingSvinval || (enqUop.isSvinval(enqUop.flushPipe) || enqUop.isSvinvalEnd(enqUop.flushPipe))) 5553b739f49SXuan Hu when (enqUop.isWFI && !enqHasException && !enqHasTriggerHit) { 5565c95ea2eSYinan Xu hasWFI := true.B 557b6900d94SYinan Xu } 558e4f69d78Ssfencevma 559e4f69d78Ssfencevma mmio(enqIndex) := false.B 5609aca92b9SYinan Xu } 5619aca92b9SYinan Xu } 562a8db15d8Sfdy val dispatchNum = Mux(io.enq.canAccept, PopCount(io.enq.req.map(req => req.valid && req.bits.firstUop)), 0.U) 56375b25016SYinan Xu io.enq.isEmpty := RegNext(isEmpty && !VecInit(io.enq.req.map(_.valid)).asUInt.orR) 5649aca92b9SYinan Xu 56509309bdbSYinan Xu when (!io.wfi_enable) { 56609309bdbSYinan Xu hasWFI := false.B 56709309bdbSYinan Xu } 5684aa9ed34Sfdy // sel vsetvl's flush position 5694aa9ed34Sfdy val vs_idle :: vs_waitVinstr :: vs_waitFlush :: Nil = Enum(3) 5704aa9ed34Sfdy val vsetvlState = RegInit(vs_idle) 5714aa9ed34Sfdy 5724aa9ed34Sfdy val firstVInstrFtqPtr = RegInit(0.U.asTypeOf(new FtqPtr)) 5734aa9ed34Sfdy val firstVInstrFtqOffset = RegInit(0.U.asTypeOf(UInt(log2Up(PredictWidth).W))) 5744aa9ed34Sfdy val firstVInstrRobIdx = RegInit(0.U.asTypeOf(new RobPtr)) 5754aa9ed34Sfdy 5764aa9ed34Sfdy val enq0 = io.enq.req(0) 577d91483a6Sfdy val enq0IsVset = enq0.bits.isVset && enq0.bits.lastUop && canEnqueue(0) 5783b739f49SXuan Hu val enq0IsVsetFlush = enq0IsVset && enq0.bits.flushPipe 579239413e5SXuan Hu val enqIsVInstrVec = io.enq.req.zip(canEnqueue).map{case (req, fire) => FuType.isVArith(req.bits.fuType) && fire} 5804aa9ed34Sfdy // for vs_idle 5814aa9ed34Sfdy val firstVInstrIdle = PriorityMux(enqIsVInstrVec.zip(io.enq.req).drop(1) :+ (true.B, 0.U.asTypeOf(io.enq.req(0).cloneType))) 5824aa9ed34Sfdy // for vs_waitVinstr 5834aa9ed34Sfdy val enqIsVInstrOrVset = (enqIsVInstrVec(0) || enq0IsVset) +: enqIsVInstrVec.drop(1) 5844aa9ed34Sfdy val firstVInstrWait = PriorityMux(enqIsVInstrOrVset, io.enq.req) 5854aa9ed34Sfdy when(vsetvlState === vs_idle){ 5863b739f49SXuan Hu firstVInstrFtqPtr := firstVInstrIdle.bits.ftqPtr 5873b739f49SXuan Hu firstVInstrFtqOffset := firstVInstrIdle.bits.ftqOffset 5884aa9ed34Sfdy firstVInstrRobIdx := firstVInstrIdle.bits.robIdx 5894aa9ed34Sfdy }.elsewhen(vsetvlState === vs_waitVinstr){ 590a8db15d8Sfdy when(Cat(enqIsVInstrOrVset).orR){ 5913b739f49SXuan Hu firstVInstrFtqPtr := firstVInstrWait.bits.ftqPtr 5923b739f49SXuan Hu firstVInstrFtqOffset := firstVInstrWait.bits.ftqOffset 5934aa9ed34Sfdy firstVInstrRobIdx := firstVInstrWait.bits.robIdx 5944aa9ed34Sfdy } 595a8db15d8Sfdy } 5964aa9ed34Sfdy 5974aa9ed34Sfdy val hasVInstrAfterI = Cat(enqIsVInstrVec(0)).orR 598a8db15d8Sfdy when(vsetvlState === vs_idle && !io.redirect.valid){ 5994aa9ed34Sfdy when(enq0IsVsetFlush){ 6004aa9ed34Sfdy vsetvlState := Mux(hasVInstrAfterI, vs_waitFlush, vs_waitVinstr) 6014aa9ed34Sfdy } 6024aa9ed34Sfdy }.elsewhen(vsetvlState === vs_waitVinstr){ 6034aa9ed34Sfdy when(io.redirect.valid){ 6044aa9ed34Sfdy vsetvlState := vs_idle 6054aa9ed34Sfdy }.elsewhen(Cat(enqIsVInstrOrVset).orR){ 6064aa9ed34Sfdy vsetvlState := vs_waitFlush 6074aa9ed34Sfdy } 6084aa9ed34Sfdy }.elsewhen(vsetvlState === vs_waitFlush){ 6094aa9ed34Sfdy when(io.redirect.valid){ 6104aa9ed34Sfdy vsetvlState := vs_idle 6114aa9ed34Sfdy } 6124aa9ed34Sfdy } 61309309bdbSYinan Xu 614d2b20d1aSTang Haojin // lqEnq 615d2b20d1aSTang Haojin io.debugEnqLsq.needAlloc.map(_(0)).zip(io.debugEnqLsq.req).foreach { case (alloc, req) => 616d2b20d1aSTang Haojin when(io.debugEnqLsq.canAccept && alloc && req.valid) { 617d2b20d1aSTang Haojin debug_microOp(req.bits.robIdx.value).lqIdx := req.bits.lqIdx 618d2b20d1aSTang Haojin debug_lqIdxValid(req.bits.robIdx.value) := true.B 619d2b20d1aSTang Haojin } 620d2b20d1aSTang Haojin } 621d2b20d1aSTang Haojin 622d2b20d1aSTang Haojin // lsIssue 623d2b20d1aSTang Haojin when(io.debugHeadLsIssue) { 624d2b20d1aSTang Haojin debug_lsIssued(deqPtr.value) := true.B 625d2b20d1aSTang Haojin } 626d2b20d1aSTang Haojin 6279aca92b9SYinan Xu /** 6289aca92b9SYinan Xu * Writeback (from execution units) 6299aca92b9SYinan Xu */ 6303b739f49SXuan Hu for (wb <- exuWBs) { 6316ab6918fSYinan Xu when (wb.valid) { 6323b739f49SXuan Hu val wbIdx = wb.bits.robIdx.value 6336ab6918fSYinan Xu debug_exuData(wbIdx) := wb.bits.data 6346ab6918fSYinan Xu debug_exuDebug(wbIdx) := wb.bits.debug 6353b739f49SXuan Hu debug_microOp(wbIdx).debugInfo.enqRsTime := wb.bits.debugInfo.enqRsTime 6363b739f49SXuan Hu debug_microOp(wbIdx).debugInfo.selectTime := wb.bits.debugInfo.selectTime 6373b739f49SXuan Hu debug_microOp(wbIdx).debugInfo.issueTime := wb.bits.debugInfo.issueTime 6383b739f49SXuan Hu debug_microOp(wbIdx).debugInfo.writebackTime := wb.bits.debugInfo.writebackTime 6399aca92b9SYinan Xu 640b211808bShappy-lx // debug for lqidx and sqidx 641141a6449SXuan Hu debug_microOp(wbIdx).lqIdx := wb.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 642141a6449SXuan Hu debug_microOp(wbIdx).sqIdx := wb.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 643b211808bShappy-lx 6449aca92b9SYinan Xu val debug_Uop = debug_microOp(wbIdx) 6459aca92b9SYinan Xu XSInfo(true.B, 6463b739f49SXuan Hu p"writebacked pc 0x${Hexadecimal(debug_Uop.pc)} wen ${debug_Uop.rfWen} " + 6473b739f49SXuan Hu p"data 0x${Hexadecimal(wb.bits.data)} ldst ${debug_Uop.ldest} pdst ${debug_Uop.pdest} " + 6483b739f49SXuan Hu p"skip ${wb.bits.debug.isMMIO} robIdx: ${wb.bits.robIdx}\n" 6499aca92b9SYinan Xu ) 6509aca92b9SYinan Xu } 6519aca92b9SYinan Xu } 6523b739f49SXuan Hu 6533b739f49SXuan Hu val writebackNum = PopCount(exuWBs.map(_.valid)) 6549aca92b9SYinan Xu XSInfo(writebackNum =/= 0.U, "writebacked %d insts\n", writebackNum) 6559aca92b9SYinan Xu 656e4f69d78Ssfencevma for (i <- 0 until LoadPipelineWidth) { 657e4f69d78Ssfencevma when (RegNext(io.lsq.mmio(i))) { 658e4f69d78Ssfencevma mmio(RegNext(io.lsq.uop(i).robIdx).value) := true.B 659e4f69d78Ssfencevma } 660e4f69d78Ssfencevma } 6619aca92b9SYinan Xu 6629aca92b9SYinan Xu /** 6639aca92b9SYinan Xu * RedirectOut: Interrupt and Exceptions 6649aca92b9SYinan Xu */ 6659aca92b9SYinan Xu val deqDispatchData = dispatchDataRead(0) 6669aca92b9SYinan Xu val debug_deqUop = debug_microOp(deqPtr.value) 6679aca92b9SYinan Xu 6689aca92b9SYinan Xu val intrBitSetReg = RegNext(io.csr.intrBitSet) 6693b739f49SXuan Hu val intrEnable = intrBitSetReg && !hasWaitForward && interrupt_safe(deqPtr.value) 6709aca92b9SYinan Xu val deqHasExceptionOrFlush = exceptionDataRead.valid && exceptionDataRead.bits.robIdx === deqPtr 67184e47f35SLi Qianruo val deqHasException = deqHasExceptionOrFlush && (exceptionDataRead.bits.exceptionVec.asUInt.orR || 672ddb65c47SLi Qianruo exceptionDataRead.bits.singleStep || exceptionDataRead.bits.trigger.hit) 6739aca92b9SYinan Xu val deqHasFlushPipe = deqHasExceptionOrFlush && exceptionDataRead.bits.flushPipe 6749aca92b9SYinan Xu val deqHasReplayInst = deqHasExceptionOrFlush && exceptionDataRead.bits.replayInst 675a8db15d8Sfdy val exceptionEnable = isWritebacked(deqPtr.value) && deqHasException 67672951335SLi Qianruo 67784e47f35SLi Qianruo XSDebug(deqHasException && exceptionDataRead.bits.singleStep, "Debug Mode: Deq has singlestep exception\n") 678ddb65c47SLi Qianruo XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitFrontend, "Debug Mode: Deq has frontend trigger exception\n") 67984e47f35SLi Qianruo XSDebug(deqHasException && exceptionDataRead.bits.trigger.getHitBackend, "Debug Mode: Deq has backend trigger exception\n") 68084e47f35SLi Qianruo 681a8db15d8Sfdy val isFlushPipe = isWritebacked(deqPtr.value) && (deqHasFlushPipe || deqHasReplayInst) 6829aca92b9SYinan Xu 683a8db15d8Sfdy val isVsetFlushPipe = isWritebacked(deqPtr.value) && deqHasFlushPipe && exceptionDataRead.bits.isVset 684a8db15d8Sfdy// val needModifyFtqIdxOffset = isVsetFlushPipe && (vsetvlState === vs_waitFlush) 685a8db15d8Sfdy val needModifyFtqIdxOffset = false.B 686a8db15d8Sfdy io.isVsetFlushPipe := isVsetFlushPipe 687a8db15d8Sfdy io.vconfigPdest := rab.io.vconfigPdest 688f4b2089aSYinan Xu // io.flushOut will trigger redirect at the next cycle. 689f4b2089aSYinan Xu // Block any redirect or commit at the next cycle. 690f4b2089aSYinan Xu val lastCycleFlush = RegNext(io.flushOut.valid) 691f4b2089aSYinan Xu 692f4b2089aSYinan Xu io.flushOut.valid := (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable || isFlushPipe) && !lastCycleFlush 693f4b2089aSYinan Xu io.flushOut.bits := DontCare 69414a67055Ssfencevma io.flushOut.bits.isRVC := deqDispatchData.isRVC 6954aa9ed34Sfdy io.flushOut.bits.robIdx := Mux(needModifyFtqIdxOffset, firstVInstrRobIdx, deqPtr) 6964aa9ed34Sfdy io.flushOut.bits.ftqIdx := Mux(needModifyFtqIdxOffset, firstVInstrFtqPtr, deqDispatchData.ftqIdx) 6974aa9ed34Sfdy io.flushOut.bits.ftqOffset := Mux(needModifyFtqIdxOffset, firstVInstrFtqOffset, deqDispatchData.ftqOffset) 6984aa9ed34Sfdy io.flushOut.bits.level := Mux(deqHasReplayInst || intrEnable || exceptionEnable || needModifyFtqIdxOffset, RedirectLevel.flush, RedirectLevel.flushAfter) // TODO use this to implement "exception next" 699f4b2089aSYinan Xu io.flushOut.bits.interrupt := true.B 7009aca92b9SYinan Xu XSPerfAccumulate("interrupt_num", io.flushOut.valid && intrEnable) 7019aca92b9SYinan Xu XSPerfAccumulate("exception_num", io.flushOut.valid && exceptionEnable) 7029aca92b9SYinan Xu XSPerfAccumulate("flush_pipe_num", io.flushOut.valid && isFlushPipe) 7039aca92b9SYinan Xu XSPerfAccumulate("replay_inst_num", io.flushOut.valid && isFlushPipe && deqHasReplayInst) 7049aca92b9SYinan Xu 705f4b2089aSYinan Xu val exceptionHappen = (state === s_idle) && valid(deqPtr.value) && (intrEnable || exceptionEnable) && !lastCycleFlush 7069aca92b9SYinan Xu io.exception.valid := RegNext(exceptionHappen) 7073b739f49SXuan Hu io.exception.bits.pc := RegEnable(debug_deqUop.pc, exceptionHappen) 7083b739f49SXuan Hu io.exception.bits.instr := RegEnable(debug_deqUop.instr, exceptionHappen) 7093b739f49SXuan Hu io.exception.bits.commitType := RegEnable(deqDispatchData.commitType, exceptionHappen) 7103b739f49SXuan Hu io.exception.bits.exceptionVec := RegEnable(exceptionDataRead.bits.exceptionVec, exceptionHappen) 7113b739f49SXuan Hu io.exception.bits.singleStep := RegEnable(exceptionDataRead.bits.singleStep, exceptionHappen) 7123b739f49SXuan Hu io.exception.bits.crossPageIPFFix := RegEnable(exceptionDataRead.bits.crossPageIPFFix, exceptionHappen) 7139aca92b9SYinan Xu io.exception.bits.isInterrupt := RegEnable(intrEnable, exceptionHappen) 7143b739f49SXuan Hu// io.exception.bits.trigger := RegEnable(exceptionDataRead.bits.trigger, exceptionHappen) 7159aca92b9SYinan Xu 7169aca92b9SYinan Xu XSDebug(io.flushOut.valid, 7173b739f49SXuan Hu p"generate redirect: pc 0x${Hexadecimal(io.exception.bits.pc)} intr $intrEnable " + 7189aca92b9SYinan Xu p"excp $exceptionEnable flushPipe $isFlushPipe " + 7199aca92b9SYinan Xu p"Trap_target 0x${Hexadecimal(io.csr.trapTarget)} exceptionVec ${Binary(exceptionDataRead.bits.exceptionVec.asUInt)}\n") 7209aca92b9SYinan Xu 7219aca92b9SYinan Xu 7229aca92b9SYinan Xu /** 7239aca92b9SYinan Xu * Commits (and walk) 7249aca92b9SYinan Xu * They share the same width. 7259aca92b9SYinan Xu */ 726dcf3a679STang Haojin val shouldWalkVec = VecInit(walkPtrVec.map(_ <= lastWalkPtr)) 727dcf3a679STang Haojin val walkFinished = VecInit(walkPtrVec.map(_ >= lastWalkPtr)).asUInt.orR 72865f65924SXuan Hu rab.io.fromRob.walkEnd := state === s_walk && walkFinished 7299aca92b9SYinan Xu 7309aca92b9SYinan Xu require(RenameWidth <= CommitWidth) 7319aca92b9SYinan Xu 7329aca92b9SYinan Xu // wiring to csr 733*f1ba628bSHaojin Tang val (wflags, dirtyFs) = (0 until CommitWidth).map(i => { 7346474c47fSYinan Xu val v = io.commits.commitValid(i) 7359aca92b9SYinan Xu val info = io.commits.info(i) 736*f1ba628bSHaojin Tang (v & info.wflags, v & info.dirtyFs) 7379aca92b9SYinan Xu }).unzip 7389aca92b9SYinan Xu val fflags = Wire(Valid(UInt(5.W))) 7396474c47fSYinan Xu fflags.valid := io.commits.isCommit && VecInit(wflags).asUInt.orR 7409aca92b9SYinan Xu fflags.bits := wflags.zip(fflagsDataRead).map({ 7419aca92b9SYinan Xu case (w, f) => Mux(w, f, 0.U) 7429aca92b9SYinan Xu }).reduce(_|_) 743*f1ba628bSHaojin Tang val dirty_fs = io.commits.isCommit && VecInit(dirtyFs).asUInt.orR 7449aca92b9SYinan Xu 745a8db15d8Sfdy val vxsat = Wire(Valid(Bool())) 746a8db15d8Sfdy vxsat.valid := io.commits.isCommit && vxsat.bits 747a8db15d8Sfdy vxsat.bits := io.commits.commitValid.zip(vxsatDataRead).map { 748a8db15d8Sfdy case (valid, vxsat) => valid & vxsat 749a8db15d8Sfdy }.reduce(_ | _) 750a8db15d8Sfdy 7519aca92b9SYinan Xu // when mispredict branches writeback, stop commit in the next 2 cycles 7529aca92b9SYinan Xu // TODO: don't check all exu write back 7533b739f49SXuan Hu val misPredWb = Cat(VecInit(redirectWBs.map(wb => 7542f2ee3b1SXuan Hu wb.bits.redirect.get.bits.cfiUpdate.isMisPred && wb.bits.redirect.get.valid && wb.valid 755c51eab43SYinan Xu ))).orR 7569aca92b9SYinan Xu val misPredBlockCounter = Reg(UInt(3.W)) 7579aca92b9SYinan Xu misPredBlockCounter := Mux(misPredWb, 7589aca92b9SYinan Xu "b111".U, 7599aca92b9SYinan Xu misPredBlockCounter >> 1.U 7609aca92b9SYinan Xu ) 7619aca92b9SYinan Xu val misPredBlock = misPredBlockCounter(0) 762ef8fa011SXuan Hu val blockCommit = misPredBlock || isReplaying || lastCycleFlush || hasWFI 7639aca92b9SYinan Xu 764ccfddc82SHaojin Tang io.commits.isWalk := state === s_walk 7656474c47fSYinan Xu io.commits.isCommit := state === s_idle && !blockCommit 7666474c47fSYinan Xu val walk_v = VecInit(walkPtrVec.map(ptr => valid(ptr.value))) 7676474c47fSYinan Xu val commit_v = VecInit(deqPtrVec.map(ptr => valid(ptr.value))) 7689aca92b9SYinan Xu // store will be commited iff both sta & std have been writebacked 769a8db15d8Sfdy val commit_w = VecInit(deqPtrVec.map(ptr => isWritebacked(ptr.value))) 7709aca92b9SYinan Xu val commit_exception = exceptionDataRead.valid && !isAfter(exceptionDataRead.bits.robIdx, deqPtrVec.last) 7719aca92b9SYinan Xu val commit_block = VecInit((0 until CommitWidth).map(i => !commit_w(i))) 7729aca92b9SYinan Xu val allowOnlyOneCommit = commit_exception || intrBitSetReg 7739aca92b9SYinan Xu // for instructions that may block others, we don't allow them to commit 7749aca92b9SYinan Xu for (i <- 0 until CommitWidth) { 7759aca92b9SYinan Xu // defaults: state === s_idle and instructions commit 7769aca92b9SYinan Xu // when intrBitSetReg, allow only one instruction to commit at each clock cycle 7779aca92b9SYinan Xu val isBlocked = if (i != 0) Cat(commit_block.take(i)).orR || allowOnlyOneCommit else intrEnable || deqHasException || deqHasReplayInst 7786474c47fSYinan Xu io.commits.commitValid(i) := commit_v(i) && commit_w(i) && !isBlocked 7799aca92b9SYinan Xu io.commits.info(i) := dispatchDataRead(i) 780fa7f2c26STang Haojin io.commits.robIdx(i) := deqPtrVec(i) 7819aca92b9SYinan Xu 782ccfddc82SHaojin Tang when (state === s_walk) { 7836474c47fSYinan Xu io.commits.walkValid(i) := shouldWalkVec(i) 7846474c47fSYinan Xu when (io.commits.isWalk && state === s_walk && shouldWalkVec(i)) { 785ef8fa011SXuan Hu XSError(!walk_v(i), s"The walking entry($i) should be valid\n") 7866474c47fSYinan Xu } 7879aca92b9SYinan Xu } 7889aca92b9SYinan Xu 7896474c47fSYinan Xu XSInfo(io.commits.isCommit && io.commits.commitValid(i), 790c61abc0cSXuan Hu "retired pc %x wen %d ldest %d pdest %x data %x fflags: %b vxsat: %b\n", 7913b739f49SXuan Hu debug_microOp(deqPtrVec(i).value).pc, 7929aca92b9SYinan Xu io.commits.info(i).rfWen, 7939aca92b9SYinan Xu io.commits.info(i).ldest, 7949aca92b9SYinan Xu io.commits.info(i).pdest, 7959aca92b9SYinan Xu debug_exuData(deqPtrVec(i).value), 796a8db15d8Sfdy fflagsDataRead(i), 797a8db15d8Sfdy vxsatDataRead(i) 7989aca92b9SYinan Xu ) 7996474c47fSYinan Xu XSInfo(state === s_walk && io.commits.walkValid(i), "walked pc %x wen %d ldst %d data %x\n", 8003b739f49SXuan Hu debug_microOp(walkPtrVec(i).value).pc, 8019aca92b9SYinan Xu io.commits.info(i).rfWen, 8029aca92b9SYinan Xu io.commits.info(i).ldest, 8039aca92b9SYinan Xu debug_exuData(walkPtrVec(i).value) 8049aca92b9SYinan Xu ) 8059aca92b9SYinan Xu } 8061545277aSYinan Xu if (env.EnableDifftest) { 8079aca92b9SYinan Xu io.commits.info.map(info => dontTouch(info.pc)) 8089aca92b9SYinan Xu } 8099aca92b9SYinan Xu 810a8db15d8Sfdy // sync fflags/dirty_fs/vxsat to csr 811a4e57ea3SLi Qianruo io.csr.fflags := RegNext(fflags) 812a4e57ea3SLi Qianruo io.csr.dirty_fs := RegNext(dirty_fs) 813a8db15d8Sfdy io.csr.vxsat := RegNext(vxsat) 8149aca92b9SYinan Xu 8154aa9ed34Sfdy // sync v csr to csr 816a8db15d8Sfdy // for difftest 8173691c4dfSfdy if(env.AlwaysBasicDiff || env.EnableDifftest) { 818fe60541bSXuan Hu val isDiffWriteVconfigVec = io.diffCommits.commitValid.zip(io.diffCommits.info).map { case (valid, info) => valid && info.ldest === VCONFIG_IDX.U && info.vecWen }.reverse 819a8db15d8Sfdy io.csr.vcsrFlag := RegNext(io.diffCommits.isCommit && Cat(isDiffWriteVconfigVec).orR) 8203691c4dfSfdy } 8213691c4dfSfdy else{ 8223691c4dfSfdy io.csr.vcsrFlag := false.B 8233691c4dfSfdy } 8244aa9ed34Sfdy 8259aca92b9SYinan Xu // commit load/store to lsq 8266474c47fSYinan Xu val ldCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.LOAD)) 8276474c47fSYinan Xu val stCommitVec = VecInit((0 until CommitWidth).map(i => io.commits.commitValid(i) && io.commits.info(i).commitType === CommitType.STORE)) 8286474c47fSYinan Xu io.lsq.lcommit := RegNext(Mux(io.commits.isCommit, PopCount(ldCommitVec), 0.U)) 8296474c47fSYinan Xu io.lsq.scommit := RegNext(Mux(io.commits.isCommit, PopCount(stCommitVec), 0.U)) 8306474c47fSYinan Xu // indicate a pending load or store 831e4f69d78Ssfencevma io.lsq.pendingld := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.LOAD && valid(deqPtr.value) && mmio(deqPtr.value)) 8326474c47fSYinan Xu io.lsq.pendingst := RegNext(io.commits.isCommit && io.commits.info(0).commitType === CommitType.STORE && valid(deqPtr.value)) 8336474c47fSYinan Xu io.lsq.commit := RegNext(io.commits.isCommit && io.commits.commitValid(0)) 834e4f69d78Ssfencevma io.lsq.pendingPtr := RegNext(deqPtr) 8359aca92b9SYinan Xu 8369aca92b9SYinan Xu /** 8379aca92b9SYinan Xu * state changes 838ccfddc82SHaojin Tang * (1) redirect: switch to s_walk 839ccfddc82SHaojin Tang * (2) walk: when walking comes to the end, switch to s_idle 8409aca92b9SYinan Xu */ 84165f65924SXuan Hu val state_next = Mux(io.redirect.valid, s_walk, Mux(state === s_walk && walkFinished && rab.io.status.walkEnd, s_idle, state)) 8427e8294acSYinan Xu XSPerfAccumulate("s_idle_to_idle", state === s_idle && state_next === s_idle) 8437e8294acSYinan Xu XSPerfAccumulate("s_idle_to_walk", state === s_idle && state_next === s_walk) 8447e8294acSYinan Xu XSPerfAccumulate("s_walk_to_idle", state === s_walk && state_next === s_idle) 8457e8294acSYinan Xu XSPerfAccumulate("s_walk_to_walk", state === s_walk && state_next === s_walk) 8469aca92b9SYinan Xu state := state_next 8479aca92b9SYinan Xu 8489aca92b9SYinan Xu /** 8499aca92b9SYinan Xu * pointers and counters 8509aca92b9SYinan Xu */ 8519aca92b9SYinan Xu val deqPtrGenModule = Module(new RobDeqPtrWrapper) 8529aca92b9SYinan Xu deqPtrGenModule.io.state := state 8539aca92b9SYinan Xu deqPtrGenModule.io.deq_v := commit_v 8549aca92b9SYinan Xu deqPtrGenModule.io.deq_w := commit_w 8559aca92b9SYinan Xu deqPtrGenModule.io.exception_state := exceptionDataRead 8569aca92b9SYinan Xu deqPtrGenModule.io.intrBitSetReg := intrBitSetReg 8573b739f49SXuan Hu deqPtrGenModule.io.hasNoSpecExec := hasWaitForward 858e8009193SYinan Xu deqPtrGenModule.io.interrupt_safe := interrupt_safe(deqPtr.value) 8596474c47fSYinan Xu deqPtrGenModule.io.blockCommit := blockCommit 8609aca92b9SYinan Xu deqPtrVec := deqPtrGenModule.io.out 8619aca92b9SYinan Xu val deqPtrVec_next = deqPtrGenModule.io.next_out 8629aca92b9SYinan Xu 8639aca92b9SYinan Xu val enqPtrGenModule = Module(new RobEnqPtrWrapper) 8649aca92b9SYinan Xu enqPtrGenModule.io.redirect := io.redirect 86544369838SXuan Hu enqPtrGenModule.io.allowEnqueue := allowEnqueue && rab.io.canEnq 8669aca92b9SYinan Xu enqPtrGenModule.io.hasBlockBackward := hasBlockBackward 867a8db15d8Sfdy enqPtrGenModule.io.enq := VecInit(io.enq.req.map(req => req.valid && req.bits.firstUop)) 8686474c47fSYinan Xu enqPtrVec := enqPtrGenModule.io.out 8699aca92b9SYinan Xu 8709aca92b9SYinan Xu // next walkPtrVec: 8719aca92b9SYinan Xu // (1) redirect occurs: update according to state 872ccfddc82SHaojin Tang // (2) walk: move forwards 873ccfddc82SHaojin Tang val walkPtrVec_next = Mux(io.redirect.valid, 874fa7f2c26STang Haojin Mux(io.snpt.useSnpt, snapshots(io.snpt.snptSelect), deqPtrVec_next), 875ccfddc82SHaojin Tang Mux(state === s_walk, VecInit(walkPtrVec.map(_ + CommitWidth.U)), walkPtrVec) 8769aca92b9SYinan Xu ) 8779aca92b9SYinan Xu walkPtrVec := walkPtrVec_next 8789aca92b9SYinan Xu 87975b25016SYinan Xu val numValidEntries = distanceBetween(enqPtr, deqPtr) 880a8db15d8Sfdy val commitCnt = PopCount(io.commits.commitValid) 8819aca92b9SYinan Xu 88275b25016SYinan Xu allowEnqueue := numValidEntries + dispatchNum <= (RobSize - RenameWidth).U 8839aca92b9SYinan Xu 884ccfddc82SHaojin Tang val redirectWalkDistance = distanceBetween(io.redirect.bits.robIdx, deqPtrVec_next(0)) 8859aca92b9SYinan Xu when (io.redirect.valid) { 886dcf3a679STang Haojin lastWalkPtr := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx - 1.U, io.redirect.bits.robIdx) 8879aca92b9SYinan Xu } 8889aca92b9SYinan Xu 8899aca92b9SYinan Xu 8909aca92b9SYinan Xu /** 8919aca92b9SYinan Xu * States 8929aca92b9SYinan Xu * We put all the stage bits changes here. 8939aca92b9SYinan Xu 8949aca92b9SYinan Xu * All events: (1) enqueue (dispatch); (2) writeback; (3) cancel; (4) dequeue (commit); 8959aca92b9SYinan Xu * All states: (1) valid; (2) writebacked; (3) flagBkup 8969aca92b9SYinan Xu */ 8979aca92b9SYinan Xu val commitReadAddr = Mux(state === s_idle, VecInit(deqPtrVec.map(_.value)), VecInit(walkPtrVec.map(_.value))) 8989aca92b9SYinan Xu 899ccfddc82SHaojin Tang // redirect logic writes 6 valid 900ccfddc82SHaojin Tang val redirectHeadVec = Reg(Vec(RenameWidth, new RobPtr)) 901ccfddc82SHaojin Tang val redirectTail = Reg(new RobPtr) 902ccfddc82SHaojin Tang val redirectIdle :: redirectBusy :: Nil = Enum(2) 903ccfddc82SHaojin Tang val redirectState = RegInit(redirectIdle) 904ccfddc82SHaojin Tang val invMask = redirectHeadVec.map(redirectHead => isBefore(redirectHead, redirectTail)) 905ccfddc82SHaojin Tang when(redirectState === redirectBusy) { 906ccfddc82SHaojin Tang redirectHeadVec.foreach(redirectHead => redirectHead := redirectHead + RenameWidth.U) 907ccfddc82SHaojin Tang redirectHeadVec zip invMask foreach { 908ccfddc82SHaojin Tang case (redirectHead, inv) => when(inv) { 909ccfddc82SHaojin Tang valid(redirectHead.value) := false.B 910ccfddc82SHaojin Tang } 911ccfddc82SHaojin Tang } 912ccfddc82SHaojin Tang when(!invMask.last) { 913ccfddc82SHaojin Tang redirectState := redirectIdle 914ccfddc82SHaojin Tang } 915ccfddc82SHaojin Tang } 916ccfddc82SHaojin Tang when(io.redirect.valid) { 917ccfddc82SHaojin Tang redirectState := redirectBusy 918ccfddc82SHaojin Tang when(redirectState === redirectIdle) { 919ccfddc82SHaojin Tang redirectTail := enqPtr 920ccfddc82SHaojin Tang } 921ccfddc82SHaojin Tang redirectHeadVec.zipWithIndex.foreach { case (redirectHead, i) => 922ccfddc82SHaojin Tang redirectHead := Mux(io.redirect.bits.flushItself(), io.redirect.bits.robIdx + i.U, io.redirect.bits.robIdx + (i + 1).U) 923ccfddc82SHaojin Tang } 924ccfddc82SHaojin Tang } 9259aca92b9SYinan Xu // enqueue logic writes 6 valid 9269aca92b9SYinan Xu for (i <- 0 until RenameWidth) { 927f4b2089aSYinan Xu when (canEnqueue(i) && !io.redirect.valid) { 9286474c47fSYinan Xu valid(allocatePtrVec(i).value) := true.B 9299aca92b9SYinan Xu } 9309aca92b9SYinan Xu } 931ccfddc82SHaojin Tang // dequeue logic writes 6 valid 9329aca92b9SYinan Xu for (i <- 0 until CommitWidth) { 9336474c47fSYinan Xu val commitValid = io.commits.isCommit && io.commits.commitValid(i) 934ccfddc82SHaojin Tang when (commitValid) { 9359aca92b9SYinan Xu valid(commitReadAddr(i)) := false.B 9369aca92b9SYinan Xu } 9379aca92b9SYinan Xu } 9389aca92b9SYinan Xu 9398744445eSMaxpicca-Li // debug_inst update 940870f462dSXuan Hu for(i <- 0 until (LduCnt + StaCnt)) { 9418744445eSMaxpicca-Li debug_lsInfo(io.debug_ls.debugLsInfo(i).s1_robIdx).s1SignalEnable(io.debug_ls.debugLsInfo(i)) 9428744445eSMaxpicca-Li debug_lsInfo(io.debug_ls.debugLsInfo(i).s2_robIdx).s2SignalEnable(io.debug_ls.debugLsInfo(i)) 9438744445eSMaxpicca-Li } 944870f462dSXuan Hu for (i <- 0 until LduCnt) { 945d2b20d1aSTang Haojin debug_lsTopdownInfo(io.lsTopdownInfo(i).s1.robIdx).s1SignalEnable(io.lsTopdownInfo(i)) 946d2b20d1aSTang Haojin debug_lsTopdownInfo(io.lsTopdownInfo(i).s2.robIdx).s2SignalEnable(io.lsTopdownInfo(i)) 947d2b20d1aSTang Haojin } 9488744445eSMaxpicca-Li 9499aca92b9SYinan Xu // writeback logic set numWbPorts writebacked to true 950a8db15d8Sfdy val blockWbSeq = Wire(Vec(exuWBs.length, Bool())) 951a8db15d8Sfdy blockWbSeq.map(_ := false.B) 952a8db15d8Sfdy for ((wb, blockWb) <- exuWBs.zip(blockWbSeq)) { 9536ab6918fSYinan Xu when(wb.valid) { 9543b739f49SXuan Hu val wbHasException = wb.bits.exceptionVec.getOrElse(0.U).asUInt.orR 9553b739f49SXuan Hu val wbHasTriggerHit = false.B //Todo: wb.bits.trigger.getHitBackend 9563b739f49SXuan Hu val wbHasFlushPipe = wb.bits.flushPipe.getOrElse(false.B) 9573b739f49SXuan Hu val wbHasReplayInst = wb.bits.replay.getOrElse(false.B) //Todo: && wb.bits.replayInst 958a8db15d8Sfdy blockWb := wbHasException || wbHasFlushPipe || wbHasReplayInst || wbHasTriggerHit 9599aca92b9SYinan Xu } 9609aca92b9SYinan Xu } 961a8db15d8Sfdy 962a8db15d8Sfdy // if the first uop of an instruction is valid , write writebackedCounter 963a8db15d8Sfdy val uopEnqValidSeq = io.enq.req.map(req => io.enq.canAccept && req.valid) 964a8db15d8Sfdy val instEnqValidSeq = io.enq.req.map (req => io.enq.canAccept && req.valid && req.bits.firstUop) 965a8db15d8Sfdy val enqNeedWriteRFSeq = io.enq.req.map(_.bits.needWriteRf) 966a8db15d8Sfdy val enqRobIdxSeq = io.enq.req.map(req => req.bits.robIdx.value) 967f1e8fcb2SXuan Hu val enqUopNumVec = VecInit(io.enq.req.map(req => req.bits.numUops)) 968f1e8fcb2SXuan Hu val enqEliminatedMoveVec = VecInit(io.enq.req.map(req => req.bits.eliminatedMove)) 969a8db15d8Sfdy 970f1e8fcb2SXuan Hu private val enqWriteStdVec: Vec[Bool] = VecInit(io.enq.req.map { 971f1e8fcb2SXuan Hu req => FuType.isAMO(req.bits.fuType) || FuType.isStore(req.bits.fuType) 972f1e8fcb2SXuan Hu }) 973a8db15d8Sfdy val fflags_wb = fflagsPorts 974a8db15d8Sfdy val vxsat_wb = vxsatPorts 975a8db15d8Sfdy for(i <- 0 until RobSize){ 976a8db15d8Sfdy 977a8db15d8Sfdy val robIdxMatchSeq = io.enq.req.map(_.bits.robIdx.value === i.U) 978a8db15d8Sfdy val uopCanEnqSeq = uopEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 979a8db15d8Sfdy val instCanEnqSeq = instEnqValidSeq.zip(robIdxMatchSeq).map{ case(valid, isMatch) => valid && isMatch } 980a8db15d8Sfdy val instCanEnqFlag = Cat(instCanEnqSeq).orR 981a8db15d8Sfdy 982a8db15d8Sfdy realDestSize(i) := Mux(!valid(i) && instCanEnqFlag || valid(i), realDestSize(i) + PopCount(enqNeedWriteRFSeq.zip(uopCanEnqSeq).map{ case(writeFlag, valid) => writeFlag && valid }), 0.U) 983a8db15d8Sfdy 984f1e8fcb2SXuan Hu val enqUopNum = PriorityMux(instCanEnqSeq, enqUopNumVec) 985f1e8fcb2SXuan Hu val enqEliminatedMove = PriorityMux(instCanEnqSeq, enqEliminatedMoveVec) 986f1e8fcb2SXuan Hu val enqWriteStd = PriorityMux(instCanEnqSeq, enqWriteStdVec) 987a8db15d8Sfdy 988a8db15d8Sfdy val canWbSeq = exuWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 989a8db15d8Sfdy val canWbNoBlockSeq = canWbSeq.zip(blockWbSeq).map{ case(canWb, blockWb) => canWb && !blockWb } 990f1e8fcb2SXuan Hu val canStdWbSeq = VecInit(stdWBs.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U)) 991f1e8fcb2SXuan Hu val wbCnt = PopCount(canWbNoBlockSeq) 99289cc69c1STang Haojin 99389cc69c1STang Haojin val exceptionHas = RegInit(false.B) 99489cc69c1STang Haojin val exceptionHasWire = Wire(Bool()) 99589cc69c1STang Haojin exceptionHasWire := MuxCase(exceptionHas, Seq( 99689cc69c1STang Haojin (valid(i) && exceptionGen.io.out.valid && exceptionGen.io.out.bits.robIdx.value === i.U) -> true.B, 99789cc69c1STang Haojin !valid(i) -> false.B 99889cc69c1STang Haojin )) 99989cc69c1STang Haojin exceptionHas := exceptionHasWire 100089cc69c1STang Haojin 100189cc69c1STang Haojin when (exceptionHas || exceptionHasWire) { 1002f1e8fcb2SXuan Hu // exception flush 1003f1e8fcb2SXuan Hu uopNumVec(i) := 0.U 1004f1e8fcb2SXuan Hu stdWritebacked(i) := true.B 1005f1e8fcb2SXuan Hu }.elsewhen(!valid(i) && instCanEnqFlag) { 1006f1e8fcb2SXuan Hu // enq set num of uops 100789cc69c1STang Haojin uopNumVec(i) := enqUopNum 1008f1e8fcb2SXuan Hu stdWritebacked(i) := Mux(enqWriteStd, false.B, true.B) 1009f1e8fcb2SXuan Hu }.elsewhen(valid(i)) { 1010f1e8fcb2SXuan Hu // update by writing back 1011f1e8fcb2SXuan Hu uopNumVec(i) := uopNumVec(i) - wbCnt 1012f1e8fcb2SXuan Hu when (canStdWbSeq.asUInt.orR) { 1013f1e8fcb2SXuan Hu stdWritebacked(i) := true.B 1014f1e8fcb2SXuan Hu } 1015f1e8fcb2SXuan Hu }.otherwise { 1016f1e8fcb2SXuan Hu uopNumVec(i) := 0.U 1017f1e8fcb2SXuan Hu } 1018a8db15d8Sfdy 10193bc74e23SzhanglyGit val fflagsCanWbSeq = fflags_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U && writeback.bits.wflags.getOrElse(false.B)) 102027c566d7SXuan Hu val fflagsRes = fflagsCanWbSeq.zip(fflags_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.fflags.get, 0.U) }.fold(false.B)(_ | _) 1021a8db15d8Sfdy fflagsDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, fflagsDataModule(i) | fflagsRes) 1022a8db15d8Sfdy 1023a8db15d8Sfdy val vxsatCanWbSeq = vxsat_wb.map(writeback => writeback.valid && writeback.bits.robIdx.value === i.U) 102427c566d7SXuan Hu val vxsatRes = vxsatCanWbSeq.zip(vxsat_wb).map { case (canWb, wb) => Mux(canWb, wb.bits.vxsat.get, 0.U) }.fold(false.B)(_ | _) 1025a8db15d8Sfdy vxsatDataModule(i) := Mux(!valid(i) && instCanEnqFlag, 0.U, vxsatDataModule(i) | vxsatRes) 10269aca92b9SYinan Xu } 10279aca92b9SYinan Xu 10289aca92b9SYinan Xu // flagBkup 10299aca92b9SYinan Xu // enqueue logic set 6 flagBkup at most 10309aca92b9SYinan Xu for (i <- 0 until RenameWidth) { 10319aca92b9SYinan Xu when (canEnqueue(i)) { 10326474c47fSYinan Xu flagBkup(allocatePtrVec(i).value) := allocatePtrVec(i).flag 10339aca92b9SYinan Xu } 10349aca92b9SYinan Xu } 10359aca92b9SYinan Xu 1036e8009193SYinan Xu // interrupt_safe 1037e8009193SYinan Xu for (i <- 0 until RenameWidth) { 1038e8009193SYinan Xu // We RegNext the updates for better timing. 1039e8009193SYinan Xu // Note that instructions won't change the system's states in this cycle. 1040e8009193SYinan Xu when (RegNext(canEnqueue(i))) { 1041e8009193SYinan Xu // For now, we allow non-load-store instructions to trigger interrupts 1042e8009193SYinan Xu // For MMIO instructions, they should not trigger interrupts since they may 1043e8009193SYinan Xu // be sent to lower level before it writes back. 1044e8009193SYinan Xu // However, we cannot determine whether a load/store instruction is MMIO. 1045e8009193SYinan Xu // Thus, we don't allow load/store instructions to trigger an interrupt. 1046e8009193SYinan Xu // TODO: support non-MMIO load-store instructions to trigger interrupts 10473b739f49SXuan Hu val allow_interrupts = !CommitType.isLoadStore(io.enq.req(i).bits.commitType) 10486474c47fSYinan Xu interrupt_safe(RegNext(allocatePtrVec(i).value)) := RegNext(allow_interrupts) 1049e8009193SYinan Xu } 1050e8009193SYinan Xu } 10519aca92b9SYinan Xu 10529aca92b9SYinan Xu /** 10539aca92b9SYinan Xu * read and write of data modules 10549aca92b9SYinan Xu */ 10559aca92b9SYinan Xu val commitReadAddr_next = Mux(state_next === s_idle, 10569aca92b9SYinan Xu VecInit(deqPtrVec_next.map(_.value)), 10579aca92b9SYinan Xu VecInit(walkPtrVec_next.map(_.value)) 10589aca92b9SYinan Xu ) 10599aca92b9SYinan Xu dispatchData.io.wen := canEnqueue 10606474c47fSYinan Xu dispatchData.io.waddr := allocatePtrVec.map(_.value) 106144369838SXuan Hu dispatchData.io.wdata.zip(io.enq.req.map(_.bits)).zipWithIndex.foreach { case ((wdata, req), portIdx) => 10623b739f49SXuan Hu wdata.ldest := req.ldest 10633b739f49SXuan Hu wdata.rfWen := req.rfWen 1064*f1ba628bSHaojin Tang wdata.dirtyFs := req.dirtyFs 10653b739f49SXuan Hu wdata.vecWen := req.vecWen 1066bdda74fdSxiaofeibao-xjtu wdata.wflags := req.wfflags 10673b739f49SXuan Hu wdata.commitType := req.commitType 10689aca92b9SYinan Xu wdata.pdest := req.pdest 10693b739f49SXuan Hu wdata.ftqIdx := req.ftqPtr 10703b739f49SXuan Hu wdata.ftqOffset := req.ftqOffset 1071ccfddc82SHaojin Tang wdata.isMove := req.eliminatedMove 1072870f462dSXuan Hu wdata.isRVC := req.preDecodeInfo.isRVC 10733b739f49SXuan Hu wdata.pc := req.pc 107475e2c883SXuan Hu wdata.vtype := req.vpu.vtype 1075d91483a6Sfdy wdata.isVset := req.isVset 107689cc69c1STang Haojin wdata.instrSize := req.instrSize 10779aca92b9SYinan Xu } 10789aca92b9SYinan Xu dispatchData.io.raddr := commitReadAddr_next 10799aca92b9SYinan Xu 10809aca92b9SYinan Xu exceptionGen.io.redirect <> io.redirect 10819aca92b9SYinan Xu exceptionGen.io.flush := io.flushOut.valid 1082a8db15d8Sfdy 1083a8db15d8Sfdy val canEnqueueEG = VecInit(io.enq.req.map(req => req.valid && io.enq.canAccept)) 10849aca92b9SYinan Xu for (i <- 0 until RenameWidth) { 1085a8db15d8Sfdy exceptionGen.io.enq(i).valid := canEnqueueEG(i) 10869aca92b9SYinan Xu exceptionGen.io.enq(i).bits.robIdx := io.enq.req(i).bits.robIdx 10873b739f49SXuan Hu exceptionGen.io.enq(i).bits.exceptionVec := ExceptionNO.selectFrontend(io.enq.req(i).bits.exceptionVec) 10883b739f49SXuan Hu exceptionGen.io.enq(i).bits.flushPipe := io.enq.req(i).bits.flushPipe 1089d91483a6Sfdy exceptionGen.io.enq(i).bits.isVset := io.enq.req(i).bits.isVset 1090d7dd1af1SLi Qianruo exceptionGen.io.enq(i).bits.replayInst := false.B 10913b739f49SXuan Hu XSError(canEnqueue(i) && io.enq.req(i).bits.replayInst, "enq should not set replayInst") 10923b739f49SXuan Hu exceptionGen.io.enq(i).bits.singleStep := io.enq.req(i).bits.singleStep 10933b739f49SXuan Hu exceptionGen.io.enq(i).bits.crossPageIPFFix := io.enq.req(i).bits.crossPageIPFFix 1094d7dd1af1SLi Qianruo exceptionGen.io.enq(i).bits.trigger.clear() 10953b739f49SXuan Hu exceptionGen.io.enq(i).bits.trigger.frontendHit := io.enq.req(i).bits.trigger.frontendHit 10969aca92b9SYinan Xu } 10979aca92b9SYinan Xu 10986ab6918fSYinan Xu println(s"ExceptionGen:") 10993b739f49SXuan Hu println(s"num of exceptions: ${params.numException}") 11003b739f49SXuan Hu require(exceptionWBs.length == exceptionGen.io.wb.length, 11013b739f49SXuan Hu f"exceptionWBs.length: ${exceptionWBs.length}, " + 11023b739f49SXuan Hu f"exceptionGen.io.wb.length: ${exceptionGen.io.wb.length}") 11033b739f49SXuan Hu for (((wb, exc_wb), i) <- exceptionWBs.zip(exceptionGen.io.wb).zipWithIndex) { 11046ab6918fSYinan Xu exc_wb.valid := wb.valid 11053b739f49SXuan Hu exc_wb.bits.robIdx := wb.bits.robIdx 11063b739f49SXuan Hu exc_wb.bits.exceptionVec := wb.bits.exceptionVec.get 11073b739f49SXuan Hu exc_wb.bits.flushPipe := wb.bits.flushPipe.getOrElse(false.B) 11084aa9ed34Sfdy exc_wb.bits.isVset := false.B 11093b739f49SXuan Hu exc_wb.bits.replayInst := wb.bits.replay.getOrElse(false.B) 11106ab6918fSYinan Xu exc_wb.bits.singleStep := false.B 11116ab6918fSYinan Xu exc_wb.bits.crossPageIPFFix := false.B 11123b739f49SXuan Hu exc_wb.bits.trigger := 0.U.asTypeOf(exc_wb.bits.trigger) // Todo 11133b739f49SXuan Hu// println(s" [$i] ${configs.map(_.name)}: exception ${exceptionCases(i)}, " + 11143b739f49SXuan Hu// s"flushPipe ${configs.exists(_.flushPipe)}, " + 11153b739f49SXuan Hu// s"replayInst ${configs.exists(_.replayInst)}") 11169aca92b9SYinan Xu } 11179aca92b9SYinan Xu 1118a8db15d8Sfdy fflagsDataRead := (0 until CommitWidth).map(i => fflagsDataModule(deqPtrVec(i).value)) 1119a8db15d8Sfdy vxsatDataRead := (0 until CommitWidth).map(i => vxsatDataModule(deqPtrVec(i).value)) 1120d91483a6Sfdy 11216474c47fSYinan Xu val instrCntReg = RegInit(0.U(64.W)) 11226474c47fSYinan Xu val fuseCommitCnt = PopCount(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => RegNext(v && CommitType.isFused(i.commitType)) }) 112389cc69c1STang Haojin val trueCommitCnt = RegNext(io.commits.commitValid.zip(io.commits.info).map{ case (v, i) => Mux(v, i.instrSize, 0.U) }.reduce(_ +& _)) +& fuseCommitCnt 11246474c47fSYinan Xu val retireCounter = Mux(RegNext(io.commits.isCommit), trueCommitCnt, 0.U) 11256474c47fSYinan Xu val instrCnt = instrCntReg + retireCounter 11266474c47fSYinan Xu instrCntReg := instrCnt 11276474c47fSYinan Xu io.csr.perfinfo.retiredInstr := retireCounter 11289aca92b9SYinan Xu io.robFull := !allowEnqueue 1129d2b20d1aSTang Haojin io.headNotReady := commit_v.head && !commit_w.head 11309aca92b9SYinan Xu 11319aca92b9SYinan Xu /** 11329aca92b9SYinan Xu * debug info 11339aca92b9SYinan Xu */ 11349aca92b9SYinan Xu XSDebug(p"enqPtr ${enqPtr} deqPtr ${deqPtr}\n") 11359aca92b9SYinan Xu XSDebug("") 11362f2ee3b1SXuan Hu XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 11379aca92b9SYinan Xu for(i <- 0 until RobSize) { 11389aca92b9SYinan Xu XSDebug(false, !valid(i), "-") 1139a8db15d8Sfdy XSDebug(false, valid(i) && isWritebacked(i.U), "w") 1140a8db15d8Sfdy XSDebug(false, valid(i) && !isWritebacked(i.U), "v") 11419aca92b9SYinan Xu } 11429aca92b9SYinan Xu XSDebug(false, true.B, "\n") 11439aca92b9SYinan Xu 11449aca92b9SYinan Xu for(i <- 0 until RobSize) { 11459aca92b9SYinan Xu if (i % 4 == 0) XSDebug("") 11463b739f49SXuan Hu XSDebug(false, true.B, "%x ", debug_microOp(i).pc) 11479aca92b9SYinan Xu XSDebug(false, !valid(i), "- ") 1148a8db15d8Sfdy XSDebug(false, valid(i) && isWritebacked(i.U), "w ") 1149a8db15d8Sfdy XSDebug(false, valid(i) && !isWritebacked(i.U), "v ") 11509aca92b9SYinan Xu if (i % 4 == 3) XSDebug(false, true.B, "\n") 11519aca92b9SYinan Xu } 11529aca92b9SYinan Xu 11536474c47fSYinan Xu def ifCommit(counter: UInt): UInt = Mux(io.commits.isCommit, counter, 0.U) 11547e8294acSYinan Xu def ifCommitReg(counter: UInt): UInt = Mux(RegNext(io.commits.isCommit), counter, 0.U) 11559aca92b9SYinan Xu 11569aca92b9SYinan Xu val commitDebugUop = deqPtrVec.map(_.value).map(debug_microOp(_)) 11579aca92b9SYinan Xu XSPerfAccumulate("clock_cycle", 1.U) 1158e986c5deSXuan Hu QueuePerf(RobSize, numValidEntries, numValidEntries === RobSize.U) 11599aca92b9SYinan Xu XSPerfAccumulate("commitUop", ifCommit(commitCnt)) 11607e8294acSYinan Xu XSPerfAccumulate("commitInstr", ifCommitReg(trueCommitCnt)) 11613b739f49SXuan Hu val commitIsMove = commitDebugUop.map(_.isMove) 11626474c47fSYinan Xu XSPerfAccumulate("commitInstrMove", ifCommit(PopCount(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }))) 11639aca92b9SYinan Xu val commitMoveElim = commitDebugUop.map(_.debugInfo.eliminatedMove) 11646474c47fSYinan Xu XSPerfAccumulate("commitInstrMoveElim", ifCommit(PopCount(io.commits.commitValid zip commitMoveElim map { case (v, e) => v && e }))) 11657e8294acSYinan Xu XSPerfAccumulate("commitInstrFused", ifCommitReg(fuseCommitCnt)) 11669aca92b9SYinan Xu val commitIsLoad = io.commits.info.map(_.commitType).map(_ === CommitType.LOAD) 11676474c47fSYinan Xu val commitLoadValid = io.commits.commitValid.zip(commitIsLoad).map{ case (v, t) => v && t } 11689aca92b9SYinan Xu XSPerfAccumulate("commitInstrLoad", ifCommit(PopCount(commitLoadValid))) 116920edb3f7SWilliam Wang val commitIsBranch = io.commits.info.map(_.commitType).map(_ === CommitType.BRANCH) 11706474c47fSYinan Xu val commitBranchValid = io.commits.commitValid.zip(commitIsBranch).map{ case (v, t) => v && t } 117120edb3f7SWilliam Wang XSPerfAccumulate("commitInstrBranch", ifCommit(PopCount(commitBranchValid))) 11723b739f49SXuan Hu val commitLoadWaitBit = commitDebugUop.map(_.loadWaitBit) 11739aca92b9SYinan Xu XSPerfAccumulate("commitInstrLoadWait", ifCommit(PopCount(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }))) 11749aca92b9SYinan Xu val commitIsStore = io.commits.info.map(_.commitType).map(_ === CommitType.STORE) 11756474c47fSYinan Xu XSPerfAccumulate("commitInstrStore", ifCommit(PopCount(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }))) 1176a8db15d8Sfdy XSPerfAccumulate("writeback", PopCount((0 until RobSize).map(i => valid(i) && isWritebacked(i.U)))) 1177c51eab43SYinan Xu // XSPerfAccumulate("enqInstr", PopCount(io.dp1Req.map(_.fire))) 11789aca92b9SYinan Xu // XSPerfAccumulate("d2rVnR", PopCount(io.dp1Req.map(p => p.valid && !p.ready))) 11796474c47fSYinan Xu XSPerfAccumulate("walkInstr", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)) 1180e986c5deSXuan Hu XSPerfAccumulate("walkCycleTotal", state === s_walk) 1181e986c5deSXuan Hu XSPerfAccumulate("waitRabWalkEnd", state === s_walk && walkFinished && !rab.io.status.walkEnd) 1182e986c5deSXuan Hu private val walkCycle = RegInit(0.U(8.W)) 1183e986c5deSXuan Hu private val waitRabWalkCycle = RegInit(0.U(8.W)) 1184e986c5deSXuan Hu walkCycle := Mux(io.redirect.valid, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1185e986c5deSXuan Hu waitRabWalkCycle := Mux(state === s_walk && walkFinished, 0.U, Mux(state === s_walk, walkCycle + 1.U, 0.U)) 1186e986c5deSXuan Hu 1187e986c5deSXuan Hu XSPerfHistogram("walkRobCycleHist", walkCycle, state === s_walk && walkFinished, 0, 32) 1188e986c5deSXuan Hu XSPerfHistogram("walkRabExtraCycleHist", waitRabWalkCycle, state === s_walk && walkFinished && rab.io.status.walkEnd, 0, 32) 1189e986c5deSXuan Hu XSPerfHistogram("walkTotalCycleHist", walkCycle, state === s_walk && state_next === s_idle, 0, 32) 1190e986c5deSXuan Hu 1191af4bdb08SXuan Hu private val deqNotWritebacked = valid(deqPtr.value) && !isWritebacked(deqPtr.value) 1192af4bdb08SXuan Hu private val deqStdNotWritebacked = valid(deqPtr.value) && !stdWritebacked(deqPtr.value) 1193af4bdb08SXuan Hu private val deqUopNotWritebacked = valid(deqPtr.value) && !isUopWritebacked(deqPtr.value) 1194af4bdb08SXuan Hu private val deqHeadInfo = debug_microOp(deqPtr.value) 11959aca92b9SYinan Xu val deqUopCommitType = io.commits.info(0).commitType 1196239413e5SXuan Hu 1197af4bdb08SXuan Hu XSPerfAccumulate("waitAluCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.alu.U) 1198af4bdb08SXuan Hu XSPerfAccumulate("waitMulCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.mul.U) 1199af4bdb08SXuan Hu XSPerfAccumulate("waitDivCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.div.U) 1200af4bdb08SXuan Hu XSPerfAccumulate("waitBrhCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.brh.U) 1201af4bdb08SXuan Hu XSPerfAccumulate("waitJmpCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.jmp.U) 1202af4bdb08SXuan Hu XSPerfAccumulate("waitCsrCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.csr.U) 1203af4bdb08SXuan Hu XSPerfAccumulate("waitFenCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.fence.U) 1204af4bdb08SXuan Hu XSPerfAccumulate("waitBkuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.bku.U) 1205af4bdb08SXuan Hu XSPerfAccumulate("waitLduCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.ldu.U) 1206af4bdb08SXuan Hu XSPerfAccumulate("waitStuCycle", deqNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1207af4bdb08SXuan Hu XSPerfAccumulate("waitStaCycle", deqUopNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1208af4bdb08SXuan Hu XSPerfAccumulate("waitStdCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.stu.U) 1209af4bdb08SXuan Hu XSPerfAccumulate("waitAtmCycle", deqStdNotWritebacked && deqHeadInfo.fuType === FuType.mou.U) 1210af4bdb08SXuan Hu 12119aca92b9SYinan Xu XSPerfAccumulate("waitNormalCycle", deqNotWritebacked && deqUopCommitType === CommitType.NORMAL) 12129aca92b9SYinan Xu XSPerfAccumulate("waitBranchCycle", deqNotWritebacked && deqUopCommitType === CommitType.BRANCH) 12139aca92b9SYinan Xu XSPerfAccumulate("waitLoadCycle", deqNotWritebacked && deqUopCommitType === CommitType.LOAD) 12149aca92b9SYinan Xu XSPerfAccumulate("waitStoreCycle", deqNotWritebacked && deqUopCommitType === CommitType.STORE) 12159aca92b9SYinan Xu XSPerfAccumulate("robHeadPC", io.commits.info(0).pc) 121689cc69c1STang Haojin XSPerfAccumulate("commitCompressCntAll", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize > 1.U})) 121789cc69c1STang Haojin (2 to RenameWidth).foreach(i => 121889cc69c1STang Haojin XSPerfAccumulate(s"commitCompressCnt${i}", PopCount(io.commits.commitValid.zip(io.commits.info).map{case(valid, info) => io.commits.isCommit && valid && info.instrSize === i.U})) 121989cc69c1STang Haojin ) 122089cc69c1STang Haojin XSPerfAccumulate("compressSize", io.commits.commitValid.zip(io.commits.info).map { case (valid, info) => Mux(io.commits.isCommit && valid && info.instrSize > 1.U, info.instrSize, 0.U) }.reduce(_ +& _)) 12219aca92b9SYinan Xu val dispatchLatency = commitDebugUop.map(uop => uop.debugInfo.dispatchTime - uop.debugInfo.renameTime) 12229aca92b9SYinan Xu val enqRsLatency = commitDebugUop.map(uop => uop.debugInfo.enqRsTime - uop.debugInfo.dispatchTime) 12239aca92b9SYinan Xu val selectLatency = commitDebugUop.map(uop => uop.debugInfo.selectTime - uop.debugInfo.enqRsTime) 12249aca92b9SYinan Xu val issueLatency = commitDebugUop.map(uop => uop.debugInfo.issueTime - uop.debugInfo.selectTime) 12259aca92b9SYinan Xu val executeLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.issueTime) 12269aca92b9SYinan Xu val rsFuLatency = commitDebugUop.map(uop => uop.debugInfo.writebackTime - uop.debugInfo.enqRsTime) 12279aca92b9SYinan Xu val commitLatency = commitDebugUop.map(uop => timer - uop.debugInfo.writebackTime) 12289aca92b9SYinan Xu def latencySum(cond: Seq[Bool], latency: Seq[UInt]): UInt = { 12299aca92b9SYinan Xu cond.zip(latency).map(x => Mux(x._1, x._2, 0.U)).reduce(_ +& _) 12309aca92b9SYinan Xu } 12319aca92b9SYinan Xu for (fuType <- FuType.functionNameMap.keys) { 12329aca92b9SYinan Xu val fuName = FuType.functionNameMap(fuType) 12333b739f49SXuan Hu val commitIsFuType = io.commits.commitValid.zip(commitDebugUop).map(x => x._1 && x._2.fuType === fuType.U ) 12349aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_instr_cnt", ifCommit(PopCount(commitIsFuType))) 12359aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_dispatch", ifCommit(latencySum(commitIsFuType, dispatchLatency))) 12369aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_enq_rs", ifCommit(latencySum(commitIsFuType, enqRsLatency))) 12379aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_select", ifCommit(latencySum(commitIsFuType, selectLatency))) 12389aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_issue", ifCommit(latencySum(commitIsFuType, issueLatency))) 12399aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_execute", ifCommit(latencySum(commitIsFuType, executeLatency))) 12409aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_enq_rs_execute", ifCommit(latencySum(commitIsFuType, rsFuLatency))) 12419aca92b9SYinan Xu XSPerfAccumulate(s"${fuName}_latency_commit", ifCommit(latencySum(commitIsFuType, commitLatency))) 12429aca92b9SYinan Xu } 12439aca92b9SYinan Xu 1244d2b20d1aSTang Haojin val sourceVaddr = Wire(Valid(UInt(VAddrBits.W))) 1245d2b20d1aSTang Haojin sourceVaddr.valid := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_valid 1246d2b20d1aSTang Haojin sourceVaddr.bits := debug_lsTopdownInfo(deqPtr.value).s1.vaddr_bits 1247d2b20d1aSTang Haojin val sourcePaddr = Wire(Valid(UInt(PAddrBits.W))) 1248d2b20d1aSTang Haojin sourcePaddr.valid := debug_lsTopdownInfo(deqPtr.value).s2.paddr_valid 1249d2b20d1aSTang Haojin sourcePaddr.bits := debug_lsTopdownInfo(deqPtr.value).s2.paddr_bits 1250d2b20d1aSTang Haojin val sourceLqIdx = Wire(Valid(new LqPtr)) 1251d2b20d1aSTang Haojin sourceLqIdx.valid := debug_lqIdxValid(deqPtr.value) 1252d2b20d1aSTang Haojin sourceLqIdx.bits := debug_microOp(deqPtr.value).lqIdx 1253d2b20d1aSTang Haojin val sourceHeadLsIssue = WireDefault(debug_lsIssue(deqPtr.value)) 1254d2b20d1aSTang Haojin ExcitingUtils.addSource(sourceVaddr, s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf, true) 1255d2b20d1aSTang Haojin ExcitingUtils.addSource(sourcePaddr, s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf, true) 1256d2b20d1aSTang Haojin ExcitingUtils.addSource(sourceLqIdx, s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf, true) 1257d2b20d1aSTang Haojin ExcitingUtils.addSource(sourceHeadLsIssue, s"rob_head_ls_issue_${coreParams.HartId}", ExcitingUtils.Perf, true) 1258d2b20d1aSTang Haojin // dummy sink 1259d2b20d1aSTang Haojin ExcitingUtils.addSink(WireDefault(sourceLqIdx), s"rob_head_lqIdx_${coreParams.HartId}", ExcitingUtils.Perf) 1260870f462dSXuan Hu ExcitingUtils.addSink(WireDefault(sourcePaddr), name=s"rob_head_paddr_${coreParams.HartId}", ExcitingUtils.Perf) 1261870f462dSXuan Hu ExcitingUtils.addSink(WireDefault(sourceVaddr), name=s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf) 126244369838SXuan Hu ExcitingUtils.addSink(WireDefault(sourceHeadLsIssue), name=s"rob_head_ls_issue_${coreParams.HartId}", ExcitingUtils.Perf) 12636ed1154eSTang Haojin 12648744445eSMaxpicca-Li /** 12658744445eSMaxpicca-Li * DataBase info: 12668744445eSMaxpicca-Li * log trigger is at writeback valid 12678744445eSMaxpicca-Li * */ 12688744445eSMaxpicca-Li 1269870f462dSXuan Hu /** 1270870f462dSXuan Hu * @todo add InstInfoEntry back 1271870f462dSXuan Hu * @author Maxpicca-Li 1272870f462dSXuan Hu */ 12738744445eSMaxpicca-Li 12749aca92b9SYinan Xu //difftest signals 1275f3034303SHaoyuan Feng val firstValidCommit = (deqPtr + PriorityMux(io.commits.commitValid, VecInit(List.tabulate(CommitWidth)(_.U(log2Up(CommitWidth).W))))).value 12769aca92b9SYinan Xu 12779aca92b9SYinan Xu val wdata = Wire(Vec(CommitWidth, UInt(XLEN.W))) 12789aca92b9SYinan Xu val wpc = Wire(Vec(CommitWidth, UInt(XLEN.W))) 1279cbe9a847SYinan Xu 12809aca92b9SYinan Xu for(i <- 0 until CommitWidth) { 12819aca92b9SYinan Xu val idx = deqPtrVec(i).value 12829aca92b9SYinan Xu wdata(i) := debug_exuData(idx) 12833b739f49SXuan Hu wpc(i) := SignExt(commitDebugUop(i).pc, XLEN) 12849aca92b9SYinan Xu } 12859aca92b9SYinan Xu 12861545277aSYinan Xu if (env.EnableDifftest) { 12879aca92b9SYinan Xu for (i <- 0 until CommitWidth) { 12889aca92b9SYinan Xu val difftest = Module(new DifftestInstrCommit) 1289b211808bShappy-lx // assgin default value 1290b211808bShappy-lx difftest.io := DontCare 1291b211808bShappy-lx 12929aca92b9SYinan Xu difftest.io.clock := clock 12935668a921SJiawei Lin difftest.io.coreid := io.hartId 12949aca92b9SYinan Xu difftest.io.index := i.U 12959aca92b9SYinan Xu 12969aca92b9SYinan Xu val ptr = deqPtrVec(i).value 12979aca92b9SYinan Xu val uop = commitDebugUop(i) 12989aca92b9SYinan Xu val exuOut = debug_exuDebug(ptr) 12999aca92b9SYinan Xu val exuData = debug_exuData(ptr) 13006474c47fSYinan Xu difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 13013b739f49SXuan Hu difftest.io.pc := RegNext(RegNext(RegNext(SignExt(uop.pc, XLEN)))) 13023b739f49SXuan Hu difftest.io.instr := RegNext(RegNext(RegNext(uop.instr))) 1303b211808bShappy-lx difftest.io.robIdx := RegNext(RegNext(RegNext(ZeroExt(ptr, 10)))) 1304b211808bShappy-lx difftest.io.lqIdx := RegNext(RegNext(RegNext(ZeroExt(uop.lqIdx.value, 7)))) 1305b211808bShappy-lx difftest.io.sqIdx := RegNext(RegNext(RegNext(ZeroExt(uop.sqIdx.value, 7)))) 1306b211808bShappy-lx difftest.io.isLoad := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.LOAD))) 1307b211808bShappy-lx difftest.io.isStore := RegNext(RegNext(RegNext(io.commits.info(i).commitType === CommitType.STORE))) 1308bde9b502SYinan Xu difftest.io.special := RegNext(RegNext(RegNext(CommitType.isFused(io.commits.info(i).commitType)))) 13099aca92b9SYinan Xu // when committing an eliminated move instruction, 13109aca92b9SYinan Xu // we must make sure that skip is properly set to false (output from EXU is random value) 1311bde9b502SYinan Xu difftest.io.skip := RegNext(RegNext(RegNext(Mux(uop.eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)))) 13123b739f49SXuan Hu difftest.io.isRVC := RegNext(RegNext(RegNext(uop.preDecodeInfo.isRVC))) 13136474c47fSYinan Xu difftest.io.rfwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).rfWen && io.commits.info(i).ldest =/= 0.U))) 13146474c47fSYinan Xu difftest.io.fpwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.info(i).fpWen))) 1315bde9b502SYinan Xu difftest.io.wpdest := RegNext(RegNext(RegNext(io.commits.info(i).pdest))) 1316bde9b502SYinan Xu difftest.io.wdest := RegNext(RegNext(RegNext(io.commits.info(i).ldest))) 131789cc69c1STang Haojin difftest.io.instrSize:= RegNext(RegNext(RegNext(io.commits.info(i).instrSize))) 131825ac26c6SWilliam Wang // // runahead commit hint 131925ac26c6SWilliam Wang // val runahead_commit = Module(new DifftestRunaheadCommitEvent) 132025ac26c6SWilliam Wang // runahead_commit.io.clock := clock 132125ac26c6SWilliam Wang // runahead_commit.io.coreid := io.hartId 132225ac26c6SWilliam Wang // runahead_commit.io.index := i.U 132325ac26c6SWilliam Wang // runahead_commit.io.valid := difftest.io.valid && 132425ac26c6SWilliam Wang // (commitBranchValid(i) || commitIsStore(i)) 132525ac26c6SWilliam Wang // // TODO: is branch or store 132625ac26c6SWilliam Wang // runahead_commit.io.pc := difftest.io.pc 13279aca92b9SYinan Xu } 13289aca92b9SYinan Xu } 1329cbe9a847SYinan Xu else if (env.AlwaysBasicDiff) { 1330cbe9a847SYinan Xu // These are the structures used by difftest only and should be optimized after synthesis. 1331cbe9a847SYinan Xu val dt_eliminatedMove = Mem(RobSize, Bool()) 1332cbe9a847SYinan Xu val dt_isRVC = Mem(RobSize, Bool()) 1333cbe9a847SYinan Xu val dt_exuDebug = Reg(Vec(RobSize, new DebugBundle)) 1334cbe9a847SYinan Xu for (i <- 0 until RenameWidth) { 1335cbe9a847SYinan Xu when (canEnqueue(i)) { 13366474c47fSYinan Xu dt_eliminatedMove(allocatePtrVec(i).value) := io.enq.req(i).bits.eliminatedMove 13373b739f49SXuan Hu dt_isRVC(allocatePtrVec(i).value) := io.enq.req(i).bits.preDecodeInfo.isRVC 1338cbe9a847SYinan Xu } 1339cbe9a847SYinan Xu } 13403b739f49SXuan Hu for (wb <- exuWBs) { 13416ab6918fSYinan Xu when (wb.valid) { 13423b739f49SXuan Hu val wbIdx = wb.bits.robIdx.value 13436ab6918fSYinan Xu dt_exuDebug(wbIdx) := wb.bits.debug 1344cbe9a847SYinan Xu } 1345cbe9a847SYinan Xu } 1346cbe9a847SYinan Xu // Always instantiate basic difftest modules. 1347cbe9a847SYinan Xu for (i <- 0 until CommitWidth) { 1348*f1ba628bSHaojin Tang val uop = commitDebugUop(i) 1349cbe9a847SYinan Xu val commitInfo = io.commits.info(i) 1350cbe9a847SYinan Xu val ptr = deqPtrVec(i).value 1351cbe9a847SYinan Xu val exuOut = dt_exuDebug(ptr) 1352cbe9a847SYinan Xu val eliminatedMove = dt_eliminatedMove(ptr) 1353cbe9a847SYinan Xu val isRVC = dt_isRVC(ptr) 1354cbe9a847SYinan Xu 1355cbe9a847SYinan Xu val difftest = Module(new DifftestBasicInstrCommit) 1356cbe9a847SYinan Xu difftest.io.clock := clock 13575668a921SJiawei Lin difftest.io.coreid := io.hartId 1358cbe9a847SYinan Xu difftest.io.index := i.U 13596474c47fSYinan Xu difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 1360bde9b502SYinan Xu difftest.io.special := RegNext(RegNext(RegNext(CommitType.isFused(commitInfo.commitType)))) 1361bde9b502SYinan Xu difftest.io.skip := RegNext(RegNext(RegNext(Mux(eliminatedMove, false.B, exuOut.isMMIO || exuOut.isPerfCnt)))) 1362bde9b502SYinan Xu difftest.io.isRVC := RegNext(RegNext(RegNext(isRVC))) 13636474c47fSYinan Xu difftest.io.rfwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && commitInfo.rfWen && commitInfo.ldest =/= 0.U))) 1364*f1ba628bSHaojin Tang difftest.io.fpwen := RegNext(RegNext(RegNext(io.commits.commitValid(i) && uop.fpWen))) 1365bde9b502SYinan Xu difftest.io.wpdest := RegNext(RegNext(RegNext(commitInfo.pdest))) 1366bde9b502SYinan Xu difftest.io.wdest := RegNext(RegNext(RegNext(commitInfo.ldest))) 1367cbe9a847SYinan Xu } 1368cbe9a847SYinan Xu } 13699aca92b9SYinan Xu 13701545277aSYinan Xu if (env.EnableDifftest) { 13719aca92b9SYinan Xu for (i <- 0 until CommitWidth) { 13729aca92b9SYinan Xu val difftest = Module(new DifftestLoadEvent) 13739aca92b9SYinan Xu difftest.io.clock := clock 13745668a921SJiawei Lin difftest.io.coreid := io.hartId 13759aca92b9SYinan Xu difftest.io.index := i.U 13769aca92b9SYinan Xu 13779aca92b9SYinan Xu val ptr = deqPtrVec(i).value 13789aca92b9SYinan Xu val uop = commitDebugUop(i) 13799aca92b9SYinan Xu val exuOut = debug_exuDebug(ptr) 13806474c47fSYinan Xu difftest.io.valid := RegNext(RegNext(RegNext(io.commits.commitValid(i) && io.commits.isCommit))) 138175c2f5aeSwakafa difftest.io.paddr := RegNext(RegNext(RegNext(exuOut.paddr))) 13823b739f49SXuan Hu difftest.io.opType := RegNext(RegNext(RegNext(uop.fuOpType))) 13833b739f49SXuan Hu difftest.io.fuType := RegNext(RegNext(RegNext(uop.fuType))) 13849aca92b9SYinan Xu } 13859aca92b9SYinan Xu } 13869aca92b9SYinan Xu 1387cbe9a847SYinan Xu // Always instantiate basic difftest modules. 13881545277aSYinan Xu if (env.EnableDifftest) { 1389cbe9a847SYinan Xu val dt_isXSTrap = Mem(RobSize, Bool()) 1390cbe9a847SYinan Xu for (i <- 0 until RenameWidth) { 1391cbe9a847SYinan Xu when (canEnqueue(i)) { 13923b739f49SXuan Hu dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1393cbe9a847SYinan Xu } 1394cbe9a847SYinan Xu } 13956474c47fSYinan Xu val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) } 1396cbe9a847SYinan Xu val hitTrap = trapVec.reduce(_||_) 1397cbe9a847SYinan Xu val trapCode = PriorityMux(wdata.zip(trapVec).map(x => x._2 -> x._1)) 1398cbe9a847SYinan Xu val trapPC = SignExt(PriorityMux(wpc.zip(trapVec).map(x => x._2 ->x._1)), XLEN) 13999aca92b9SYinan Xu val difftest = Module(new DifftestTrapEvent) 14009aca92b9SYinan Xu difftest.io.clock := clock 14015668a921SJiawei Lin difftest.io.coreid := io.hartId 14029aca92b9SYinan Xu difftest.io.valid := hitTrap 14039aca92b9SYinan Xu difftest.io.code := trapCode 14049aca92b9SYinan Xu difftest.io.pc := trapPC 14059aca92b9SYinan Xu difftest.io.cycleCnt := timer 14069aca92b9SYinan Xu difftest.io.instrCnt := instrCnt 1407f37600a6SYinan Xu difftest.io.hasWFI := hasWFI 14089aca92b9SYinan Xu } 1409cbe9a847SYinan Xu else if (env.AlwaysBasicDiff) { 1410cbe9a847SYinan Xu val dt_isXSTrap = Mem(RobSize, Bool()) 1411cbe9a847SYinan Xu for (i <- 0 until RenameWidth) { 1412cbe9a847SYinan Xu when (canEnqueue(i)) { 14133b739f49SXuan Hu dt_isXSTrap(allocatePtrVec(i).value) := io.enq.req(i).bits.isXSTrap 1414cbe9a847SYinan Xu } 1415cbe9a847SYinan Xu } 14166474c47fSYinan Xu val trapVec = io.commits.commitValid.zip(deqPtrVec).map{ case (v, d) => io.commits.isCommit && v && dt_isXSTrap(d.value) } 1417cbe9a847SYinan Xu val hitTrap = trapVec.reduce(_||_) 1418cbe9a847SYinan Xu val difftest = Module(new DifftestBasicTrapEvent) 1419cbe9a847SYinan Xu difftest.io.clock := clock 14205668a921SJiawei Lin difftest.io.coreid := io.hartId 1421cbe9a847SYinan Xu difftest.io.valid := hitTrap 1422cbe9a847SYinan Xu difftest.io.cycleCnt := timer 1423cbe9a847SYinan Xu difftest.io.instrCnt := instrCnt 1424cbe9a847SYinan Xu } 14251545277aSYinan Xu 1426dcf3a679STang Haojin val validEntriesBanks = (0 until (RobSize + 31) / 32).map(i => RegNext(PopCount(valid.drop(i * 32).take(32)))) 1427dcf3a679STang Haojin val validEntries = RegNext(VecInit(validEntriesBanks).reduceTree(_ +& _)) 142843bdc4d9SYinan Xu val commitMoveVec = VecInit(io.commits.commitValid.zip(commitIsMove).map{ case (v, m) => v && m }) 142943bdc4d9SYinan Xu val commitLoadVec = VecInit(commitLoadValid) 143043bdc4d9SYinan Xu val commitBranchVec = VecInit(commitBranchValid) 143143bdc4d9SYinan Xu val commitLoadWaitVec = VecInit(commitLoadValid.zip(commitLoadWaitBit).map{ case (v, w) => v && w }) 143243bdc4d9SYinan Xu val commitStoreVec = VecInit(io.commits.commitValid.zip(commitIsStore).map{ case (v, t) => v && t }) 1433cd365d4cSrvcoresjw val perfEvents = Seq( 1434cd365d4cSrvcoresjw ("rob_interrupt_num ", io.flushOut.valid && intrEnable ), 1435cd365d4cSrvcoresjw ("rob_exception_num ", io.flushOut.valid && exceptionEnable ), 1436cd365d4cSrvcoresjw ("rob_flush_pipe_num ", io.flushOut.valid && isFlushPipe ), 1437cd365d4cSrvcoresjw ("rob_replay_inst_num ", io.flushOut.valid && isFlushPipe && deqHasReplayInst ), 1438cd365d4cSrvcoresjw ("rob_commitUop ", ifCommit(commitCnt) ), 14397e8294acSYinan Xu ("rob_commitInstr ", ifCommitReg(trueCommitCnt) ), 144043bdc4d9SYinan Xu ("rob_commitInstrMove ", ifCommitReg(PopCount(RegNext(commitMoveVec))) ), 14417e8294acSYinan Xu ("rob_commitInstrFused ", ifCommitReg(fuseCommitCnt) ), 144243bdc4d9SYinan Xu ("rob_commitInstrLoad ", ifCommitReg(PopCount(RegNext(commitLoadVec))) ), 144343bdc4d9SYinan Xu ("rob_commitInstrBranch ", ifCommitReg(PopCount(RegNext(commitBranchVec))) ), 144443bdc4d9SYinan Xu ("rob_commitInstrLoadWait", ifCommitReg(PopCount(RegNext(commitLoadWaitVec))) ), 144543bdc4d9SYinan Xu ("rob_commitInstrStore ", ifCommitReg(PopCount(RegNext(commitStoreVec))) ), 14466474c47fSYinan Xu ("rob_walkInstr ", Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U) ), 1447ccfddc82SHaojin Tang ("rob_walkCycle ", (state === s_walk) ), 14487e8294acSYinan Xu ("rob_1_4_valid ", validEntries <= (RobSize / 4).U ), 14497e8294acSYinan Xu ("rob_2_4_valid ", validEntries > (RobSize / 4).U && validEntries <= (RobSize / 2).U ), 14507e8294acSYinan Xu ("rob_3_4_valid ", validEntries > (RobSize / 2).U && validEntries <= (RobSize * 3 / 4).U), 14517e8294acSYinan Xu ("rob_4_4_valid ", validEntries > (RobSize * 3 / 4).U ), 1452cd365d4cSrvcoresjw ) 14531ca0e4f3SYinan Xu generatePerfEvent() 14549aca92b9SYinan Xu} 1455