11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chisel3._ 201f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 211f0e2dc7SJiawei Linimport chisel3.util._ 227f37d55fSTang Haojinimport coupledL2.VaddrField 23d2945707SHuijin Liimport coupledL2.IsKeywordField 24d2945707SHuijin Liimport coupledL2.IsKeywordKey 2572dab974Scz4eimport freechips.rocketchip.diplomacy._ 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 277f37d55fSTang Haojinimport freechips.rocketchip.util.BundleFieldBase 287f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField} 297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 307f37d55fSTang Haojinimport utility._ 317f37d55fSTang Haojinimport utils._ 327f37d55fSTang Haojinimport xiangshan._ 339ae95edaSAnzoooooimport xiangshan.backend.Bundles.DynInst 347f37d55fSTang Haojinimport xiangshan.backend.rob.RobDebugRollingIO 3504665835SMaxpicca-Liimport xiangshan.cache.wpu._ 367f37d55fSTang Haojinimport xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 370d32f713Shappy-lximport xiangshan.mem.prefetch._ 38d2945707SHuijin Liimport xiangshan.mem.LqPtr 395668a921SJiawei Lin 401f0e2dc7SJiawei Lin// DCache specific parameters 411f0e2dc7SJiawei Lincase class DCacheParameters 421f0e2dc7SJiawei Lin( 4320e09ab1Shappy-lx nSets: Int = 128, 441f0e2dc7SJiawei Lin nWays: Int = 8, 45af22dd7cSWilliam Wang rowBits: Int = 64, 461f0e2dc7SJiawei Lin tagECC: Option[String] = None, 471f0e2dc7SJiawei Lin dataECC: Option[String] = None, 48300ded30SWilliam Wang replacer: Option[String] = Some("setplru"), 49fa9ac9b6SWilliam Wang updateReplaceOn2ndmiss: Boolean = true, 501f0e2dc7SJiawei Lin nMissEntries: Int = 1, 511f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 521f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 531f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 541f0e2dc7SJiawei Lin nMMIOs: Int = 1, 55fddcfe1fSwakafa blockBytes: Int = 64, 560d32f713Shappy-lx nMaxPrefetchEntry: Int = 1, 57d2945707SHuijin Li alwaysReleaseData: Boolean = false, 5831d5a9c4Ssfencevma isKeywordBitsOpt: Option[Boolean] = Some(true), 5931d5a9c4Ssfencevma enableDataEcc: Boolean = false, 6072dab974Scz4e enableTagEcc: Boolean = false, 6172dab974Scz4e cacheCtrlAddressOpt: Option[AddressSet] = None, 621f0e2dc7SJiawei Lin) extends L1CacheParameters { 631f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 641f0e2dc7SJiawei Lin // cache alias will happen, 651f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 661f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 671f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 681f0e2dc7SJiawei Lin 691f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 701f0e2dc7SJiawei Lin 711f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 721f0e2dc7SJiawei Lin} 731f0e2dc7SJiawei Lin 741f0e2dc7SJiawei Lin// Physical Address 751f0e2dc7SJiawei Lin// -------------------------------------- 761f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 771f0e2dc7SJiawei Lin// -------------------------------------- 781f0e2dc7SJiawei Lin// | 791f0e2dc7SJiawei Lin// DCacheTagOffset 801f0e2dc7SJiawei Lin// 811f0e2dc7SJiawei Lin// Virtual Address 821f0e2dc7SJiawei Lin// -------------------------------------- 831f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 841f0e2dc7SJiawei Lin// -------------------------------------- 851f0e2dc7SJiawei Lin// | | | | 86ca18a0b4SWilliam Wang// | | | 0 871f0e2dc7SJiawei Lin// | | DCacheBankOffset 881f0e2dc7SJiawei Lin// | DCacheSetOffset 891f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 901f0e2dc7SJiawei Lin 911f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 921f0e2dc7SJiawei Lin 930d32f713Shappy-lxtrait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 941f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 951f0e2dc7SJiawei Lin val cfg = cacheParams 961f0e2dc7SJiawei Lin 971f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 981f0e2dc7SJiawei Lin 992db9ec44SLinJiawei def nSourceType = 10 1001f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 10100575ac8SWilliam Wang // non-prefetch source < 3 1021f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1031f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1041f0e2dc7SJiawei Lin def AMO_SOURCE = 2 10500575ac8SWilliam Wang // prefetch source >= 3 10600575ac8SWilliam Wang def DCACHE_PREFETCH_SOURCE = 3 1072db9ec44SLinJiawei def SOFT_PREFETCH = 4 1080d32f713Shappy-lx // the following sources are only used inside SMS 1092db9ec44SLinJiawei def HW_PREFETCH_AGT = 5 1102db9ec44SLinJiawei def HW_PREFETCH_PHT_CUR = 6 1112db9ec44SLinJiawei def HW_PREFETCH_PHT_INC = 7 1122db9ec44SLinJiawei def HW_PREFETCH_PHT_DEC = 8 1132db9ec44SLinJiawei def HW_PREFETCH_BOP = 9 1142db9ec44SLinJiawei def HW_PREFETCH_STRIDE = 10 1151f0e2dc7SJiawei Lin 1160d32f713Shappy-lx def BLOOM_FILTER_ENTRY_NUM = 4096 1170d32f713Shappy-lx 1181f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1198b1251e1SWilliam Wang def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 1201f0e2dc7SJiawei Lin 121300ded30SWilliam Wang require(isPow2(cfg.nMissEntries)) // TODO 122300ded30SWilliam Wang // require(isPow2(cfg.nReleaseEntries)) 123300ded30SWilliam Wang require(cfg.nMissEntries < cfg.nReleaseEntries) 1244f2cafefSCharlieLiu val nEntries = cfg.nMissEntries + cfg.nReleaseEntries + 1 // nMissEntries + nReleaseEntries + 1CMO_Entry 1254f2cafefSCharlieLiu val releaseIdBase = cfg.nMissEntries + 1 12631d5a9c4Ssfencevma val EnableDataEcc = cacheParams.enableDataEcc 12731d5a9c4Ssfencevma val EnableTagEcc = cacheParams.enableTagEcc 128ad3ba452Szhanglinjuan 1291f0e2dc7SJiawei Lin // banked dcache support 1303eeae490SMaxpicca-Li val DCacheSetDiv = 1 1311f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1322df9c392Scz4e val DCacheWayDiv = 2 1331f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 134af22dd7cSWilliam Wang val DCacheBanks = 8 // hardcoded 135a9c1b353SMaxpicca-Li val DCacheDupNum = 16 136af22dd7cSWilliam Wang val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 137ca18a0b4SWilliam Wang val DCacheWordBits = 64 // hardcoded 138ca18a0b4SWilliam Wang val DCacheWordBytes = DCacheWordBits / 8 1390d32f713Shappy-lx val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 140cdbff57cSHaoyuan Feng val DCacheVWordBytes = VLEN / 8 141af22dd7cSWilliam Wang require(DCacheSRAMRowBits == 64) 1421f0e2dc7SJiawei Lin 1433eeae490SMaxpicca-Li val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 1443eeae490SMaxpicca-Li val DCacheSetBits = log2Ceil(DCacheSets) 145ca18a0b4SWilliam Wang val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 146ca18a0b4SWilliam Wang val DCacheSizeBytes = DCacheSizeBits / 8 147ca18a0b4SWilliam Wang val DCacheSizeWords = DCacheSizeBits / 64 // TODO 1481f0e2dc7SJiawei Lin 1491f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1501f0e2dc7SJiawei Lin 1511f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 152ca18a0b4SWilliam Wang val DCacheWordOffset = log2Up(DCacheWordBytes) 153cdbff57cSHaoyuan Feng val DCacheVWordOffset = log2Up(DCacheVWordBytes) 154ca18a0b4SWilliam Wang 155ca18a0b4SWilliam Wang val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 1561f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1571f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1581f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 159ca18a0b4SWilliam Wang val DCacheLineOffset = DCacheSetOffset 1601f0e2dc7SJiawei Lin 161b34797bcScz4e def encWordBits = cacheParams.dataCode.width(wordBits) 162b34797bcScz4e def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 163b34797bcScz4e def eccBits = encWordBits - wordBits 164b34797bcScz4e 165b34797bcScz4e def encTagBits = if (EnableTagEcc) cacheParams.tagCode.width(tagBits) else tagBits 166b34797bcScz4e def tagECCBits = encTagBits - tagBits 167b34797bcScz4e 168b34797bcScz4e def encDataBits = if (EnableDataEcc) cacheParams.dataCode.width(DCacheSRAMRowBits) else DCacheSRAMRowBits 169b34797bcScz4e def dataECCBits = encDataBits - DCacheSRAMRowBits 170b34797bcScz4e 17172dab974Scz4e // L1 DCache controller 17272dab974Scz4e val cacheCtrlParamsOpt = OptionWrapper( 17372dab974Scz4e cacheParams.cacheCtrlAddressOpt.nonEmpty, 17472dab974Scz4e L1CacheCtrlParams(cacheParams.cacheCtrlAddressOpt.get) 17572dab974Scz4e ) 17637225120Ssfencevma // uncache 177be867ebcSAnzooooo val uncacheIdxBits = log2Up(VirtualLoadQueueMaxStoreQueueSize + 1) 178b52348aeSWilliam Wang // hardware prefetch parameters 179b52348aeSWilliam Wang // high confidence hardware prefetch port 180b52348aeSWilliam Wang val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 181b52348aeSWilliam Wang val IgnorePrefetchConfidence = false 18237225120Ssfencevma 1836c7e5e86Szhanglinjuan // parameters about duplicating regs to solve fanout 1846c7e5e86Szhanglinjuan // In Main Pipe: 1856c7e5e86Szhanglinjuan // tag_write.ready -> data_write.valid * 8 banks 1866c7e5e86Szhanglinjuan // tag_write.ready -> meta_write.valid 1876c7e5e86Szhanglinjuan // tag_write.ready -> tag_write.valid 1886c7e5e86Szhanglinjuan // tag_write.ready -> err_write.valid 1896c7e5e86Szhanglinjuan // tag_write.ready -> wb.valid 1906c7e5e86Szhanglinjuan val nDupTagWriteReady = DCacheBanks + 4 1916c7e5e86Szhanglinjuan // In Main Pipe: 1926c7e5e86Szhanglinjuan // data_write.ready -> data_write.valid * 8 banks 1936c7e5e86Szhanglinjuan // data_write.ready -> meta_write.valid 1946c7e5e86Szhanglinjuan // data_write.ready -> tag_write.valid 1956c7e5e86Szhanglinjuan // data_write.ready -> err_write.valid 1966c7e5e86Szhanglinjuan // data_write.ready -> wb.valid 1976c7e5e86Szhanglinjuan val nDupDataWriteReady = DCacheBanks + 4 1986c7e5e86Szhanglinjuan val nDupWbReady = DCacheBanks + 4 1996c7e5e86Szhanglinjuan val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 2006c7e5e86Szhanglinjuan val dataWritePort = 0 2016c7e5e86Szhanglinjuan val metaWritePort = DCacheBanks 2026c7e5e86Szhanglinjuan val tagWritePort = metaWritePort + 1 2036c7e5e86Szhanglinjuan val errWritePort = tagWritePort + 1 2046c7e5e86Szhanglinjuan val wbPort = errWritePort + 1 2056c7e5e86Szhanglinjuan 2063eeae490SMaxpicca-Li def set_to_dcache_div(set: UInt) = { 2073eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2083eeae490SMaxpicca-Li if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 2093eeae490SMaxpicca-Li } 2103eeae490SMaxpicca-Li 2113eeae490SMaxpicca-Li def set_to_dcache_div_set(set: UInt) = { 2123eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2133eeae490SMaxpicca-Li set(DCacheSetBits - 1, DCacheSetDivBits) 2143eeae490SMaxpicca-Li } 2153eeae490SMaxpicca-Li 2161f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 2171f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 2181f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 2191f0e2dc7SJiawei Lin } 2201f0e2dc7SJiawei Lin 2213eeae490SMaxpicca-Li def addr_to_dcache_div(addr: UInt) = { 2223eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2233eeae490SMaxpicca-Li if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 2243eeae490SMaxpicca-Li } 2253eeae490SMaxpicca-Li 2263eeae490SMaxpicca-Li def addr_to_dcache_div_set(addr: UInt) = { 2273eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2283eeae490SMaxpicca-Li addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 2293eeae490SMaxpicca-Li } 2303eeae490SMaxpicca-Li 2311f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 2321f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 2331f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 2341f0e2dc7SJiawei Lin } 2351f0e2dc7SJiawei Lin 2361f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 2371f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 2381f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 2391f0e2dc7SJiawei Lin } 2401f0e2dc7SJiawei Lin 2411f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 2421f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 2431f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 2441f0e2dc7SJiawei Lin } 2451f0e2dc7SJiawei Lin 246401876faSYanqin Li def get_alias(vaddr: UInt): UInt ={ 24720e09ab1Shappy-lx // require(blockOffBits + idxBits > pgIdxBits) 248401876faSYanqin Li if(blockOffBits + idxBits > pgIdxBits){ 249401876faSYanqin Li vaddr(blockOffBits + idxBits - 1, pgIdxBits) 250401876faSYanqin Li }else{ 251401876faSYanqin Li 0.U 252401876faSYanqin Li } 253401876faSYanqin Li } 2541f0e2dc7SJiawei Lin 2550d32f713Shappy-lx def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 2560d32f713Shappy-lx require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 2570d32f713Shappy-lx if(blockOffBits + idxBits > pgIdxBits) { 2580d32f713Shappy-lx vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 2590d32f713Shappy-lx }else { 2600d32f713Shappy-lx // no alias problem 2610d32f713Shappy-lx true.B 2620d32f713Shappy-lx } 2630d32f713Shappy-lx } 2640d32f713Shappy-lx 26504665835SMaxpicca-Li def get_direct_map_way(addr:UInt): UInt = { 26604665835SMaxpicca-Li addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 26704665835SMaxpicca-Li } 26804665835SMaxpicca-Li 269578c21a4Szhanglinjuan def arbiter[T <: Bundle]( 270578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 271578c21a4Szhanglinjuan out: DecoupledIO[T], 272578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 273578c21a4Szhanglinjuan val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 274578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 275578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 276578c21a4Szhanglinjuan a <> req 277578c21a4Szhanglinjuan } 278578c21a4Szhanglinjuan out <> arb.io.out 279578c21a4Szhanglinjuan } 280578c21a4Szhanglinjuan 281b36dd5fdSWilliam Wang def arbiter_with_pipereg[T <: Bundle]( 282b36dd5fdSWilliam Wang in: Seq[DecoupledIO[T]], 283b36dd5fdSWilliam Wang out: DecoupledIO[T], 284b36dd5fdSWilliam Wang name: Option[String] = None): Unit = { 285b36dd5fdSWilliam Wang val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 286b36dd5fdSWilliam Wang if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 287b36dd5fdSWilliam Wang for ((a, req) <- arb.io.in.zip(in)) { 288b36dd5fdSWilliam Wang a <> req 289b36dd5fdSWilliam Wang } 290b36dd5fdSWilliam Wang AddPipelineReg(arb.io.out, out, false.B) 291b36dd5fdSWilliam Wang } 292b36dd5fdSWilliam Wang 293b11ec622Slixin def arbiter_with_pipereg_N_dup[T <: Bundle]( 294b11ec622Slixin in: Seq[DecoupledIO[T]], 295b11ec622Slixin out: DecoupledIO[T], 296c3a5fe5fShappy-lx dups: Seq[DecoupledIO[T]], 297b11ec622Slixin name: Option[String] = None): Unit = { 298b11ec622Slixin val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 299b11ec622Slixin if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 300b11ec622Slixin for ((a, req) <- arb.io.in.zip(in)) { 301b11ec622Slixin a <> req 302b11ec622Slixin } 303b11ec622Slixin for (dup <- dups) { 304c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, dup, false.B) 305b11ec622Slixin } 306c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, out, false.B) 307b11ec622Slixin } 308b11ec622Slixin 309578c21a4Szhanglinjuan def rrArbiter[T <: Bundle]( 310578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 311578c21a4Szhanglinjuan out: DecoupledIO[T], 312578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 313578c21a4Szhanglinjuan val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 314578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 315578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 316578c21a4Szhanglinjuan a <> req 317578c21a4Szhanglinjuan } 318578c21a4Szhanglinjuan out <> arb.io.out 319578c21a4Szhanglinjuan } 320578c21a4Szhanglinjuan 3217cd72b71Szhanglinjuan def fastArbiter[T <: Bundle]( 3227cd72b71Szhanglinjuan in: Seq[DecoupledIO[T]], 3237cd72b71Szhanglinjuan out: DecoupledIO[T], 3247cd72b71Szhanglinjuan name: Option[String] = None): Unit = { 3257cd72b71Szhanglinjuan val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 3267cd72b71Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 3277cd72b71Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 3287cd72b71Szhanglinjuan a <> req 3297cd72b71Szhanglinjuan } 3307cd72b71Szhanglinjuan out <> arb.io.out 3317cd72b71Szhanglinjuan } 3327cd72b71Szhanglinjuan 333ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 334ad3ba452Szhanglinjuan 3351f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 3361f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 3371f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 3381f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 3391f0e2dc7SJiawei Lin} 3401f0e2dc7SJiawei Lin 3411f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 3421f0e2dc7SJiawei Lin with HasDCacheParameters 3431f0e2dc7SJiawei Lin 3441f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 3451f0e2dc7SJiawei Lin with HasDCacheParameters 3461f0e2dc7SJiawei Lin 3471f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 3481f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 3491f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 3501f0e2dc7SJiawei Lin} 3511f0e2dc7SJiawei Lin 352ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 353ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 35404665835SMaxpicca-Li val dmWay = Output(UInt(log2Up(nWays).W)) 355ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 356ad3ba452Szhanglinjuan} 357ad3ba452Szhanglinjuan 3583af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 3593af6aa6eSWilliam Wang{ 3603af6aa6eSWilliam Wang val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 3610d32f713Shappy-lx val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 3623af6aa6eSWilliam Wang val access = Bool() // cache line has been accessed by load / store 3633af6aa6eSWilliam Wang 3643af6aa6eSWilliam Wang // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 3653af6aa6eSWilliam Wang} 3663af6aa6eSWilliam Wang 3671f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 3681f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 3691f0e2dc7SJiawei Lin{ 3701f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 371d2b20d1aSTang Haojin val vaddr = UInt(VAddrBits.W) 372fa5e530dScz4e val vaddr_dup = UInt(VAddrBits.W) 373cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 374cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 3751f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3763f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 377da3bf434SMaxpicca-Li val isFirstIssue = Bool() 37804665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 379d2945707SHuijin Li val lqIdx = new LqPtr 380da3bf434SMaxpicca-Li 381da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 3828b33cd30Sklin02 def dump(cond: Bool) = { 3838b33cd30Sklin02 XSDebug(cond, "DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 384d2b20d1aSTang Haojin cmd, vaddr, data, mask, id) 3851f0e2dc7SJiawei Lin } 3861f0e2dc7SJiawei Lin} 3871f0e2dc7SJiawei Lin 3881f0e2dc7SJiawei Lin// memory request in word granularity(store) 3891f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 3901f0e2dc7SJiawei Lin{ 3911f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 3921f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 3931f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 3941f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 3951f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 3961f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3978b33cd30Sklin02 def dump(cond: Bool) = { 3988b33cd30Sklin02 XSDebug(cond, "DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 3991f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 4001f0e2dc7SJiawei Lin } 401ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 4021f0e2dc7SJiawei Lin} 4031f0e2dc7SJiawei Lin 4041f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 405d2b20d1aSTang Haojin val addr = UInt(PAddrBits.W) 406ca18a0b4SWilliam Wang val wline = Bool() 4071f0e2dc7SJiawei Lin} 4081f0e2dc7SJiawei Lin 4090d32f713Shappy-lxclass DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 4100d32f713Shappy-lx val prefetch = Bool() 411315e1323Sgood-circle val vecValid = Bool() 412b240e1c0SAnzooooo val sqNeedDeq = Bool() 4130d32f713Shappy-lx 4140d32f713Shappy-lx def toDCacheWordReqWithVaddr() = { 4150d32f713Shappy-lx val res = Wire(new DCacheWordReqWithVaddr) 4160d32f713Shappy-lx res.vaddr := vaddr 4170d32f713Shappy-lx res.wline := wline 4180d32f713Shappy-lx res.cmd := cmd 4190d32f713Shappy-lx res.addr := addr 4200d32f713Shappy-lx res.data := data 4210d32f713Shappy-lx res.mask := mask 4220d32f713Shappy-lx res.id := id 4230d32f713Shappy-lx res.instrtype := instrtype 4240d32f713Shappy-lx res.replayCarry := replayCarry 4250d32f713Shappy-lx res.isFirstIssue := isFirstIssue 4260d32f713Shappy-lx res.debug_robIdx := debug_robIdx 4270d32f713Shappy-lx 4280d32f713Shappy-lx res 4290d32f713Shappy-lx } 4300d32f713Shappy-lx} 4310d32f713Shappy-lx 4326786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 4331f0e2dc7SJiawei Lin{ 434144422dcSMaxpicca-Li // read in s2 435cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 436144422dcSMaxpicca-Li // select in s3 437cdbff57cSHaoyuan Feng val data_delayed = UInt(VLEN.W) 438026615fcSWilliam Wang val id = UInt(reqIdWidth.W) 4391f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4401f0e2dc7SJiawei Lin val miss = Bool() 441026615fcSWilliam Wang // cache miss, and failed to enter the missqueue, replay from RS is needed 4421f0e2dc7SJiawei Lin val replay = Bool() 44304665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 444026615fcSWilliam Wang // data has been corrupted 445a469aa4bSWilliam Wang val tag_error = Bool() // tag error 446144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 447144422dcSMaxpicca-Li 448da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 4498b33cd30Sklin02 def dump(cond: Bool) = { 4508b33cd30Sklin02 XSDebug(cond, "DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 4511f0e2dc7SJiawei Lin data, id, miss, replay) 4521f0e2dc7SJiawei Lin } 4531f0e2dc7SJiawei Lin} 4541f0e2dc7SJiawei Lin 4556786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 4566786cfb7SWilliam Wang{ 4570d32f713Shappy-lx val meta_prefetch = UInt(L1PfSourceBits.W) 4584b6d4d13SWilliam Wang val meta_access = Bool() 459b9e121dfShappy-lx // s2 460b9e121dfShappy-lx val handled = Bool() 4610d32f713Shappy-lx val real_miss = Bool() 462b9e121dfShappy-lx // s3: 1 cycle after data resp 4636786cfb7SWilliam Wang val error_delayed = Bool() // all kinds of errors, include tag error 464b9e121dfShappy-lx val replacementUpdated = Bool() 4656786cfb7SWilliam Wang} 4666786cfb7SWilliam Wang 467a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 468a19ae480SWilliam Wang{ 469a19ae480SWilliam Wang val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 470a19ae480SWilliam Wang val bank_oh = UInt(DCacheBanks.W) 471a19ae480SWilliam Wang} 472a19ae480SWilliam Wang 4736786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 4746786cfb7SWilliam Wang{ 4756786cfb7SWilliam Wang val error = Bool() // all kinds of errors, include tag error 47658cb1b0bSzhanglinjuan val nderr = Bool() 4776786cfb7SWilliam Wang} 4786786cfb7SWilliam Wang 4791f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 4801f0e2dc7SJiawei Lin{ 4811f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 4821f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4831f0e2dc7SJiawei Lin val miss = Bool() 4841f0e2dc7SJiawei Lin // cache req nacked, replay it later 4851f0e2dc7SJiawei Lin val replay = Bool() 4861f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 4878b33cd30Sklin02 def dump(cond: Bool) = { 4888b33cd30Sklin02 XSDebug(cond, "DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 4891f0e2dc7SJiawei Lin data, id, miss, replay) 4901f0e2dc7SJiawei Lin } 4911f0e2dc7SJiawei Lin} 4921f0e2dc7SJiawei Lin 4931f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 4941f0e2dc7SJiawei Lin{ 4951f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 4961f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 497026615fcSWilliam Wang val error = Bool() // refilled data has been corrupted 4981f0e2dc7SJiawei Lin // for debug usage 4991f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 5001f0e2dc7SJiawei Lin val hasdata = Bool() 5011f0e2dc7SJiawei Lin val refill_done = Bool() 5028b33cd30Sklin02 def dump(cond: Bool) = { 5038b33cd30Sklin02 XSDebug(cond, "Refill: addr: %x data: %x\n", addr, data) 5041f0e2dc7SJiawei Lin } 505683c1411Shappy-lx val id = UInt(log2Up(cfg.nMissEntries).W) 5061f0e2dc7SJiawei Lin} 5071f0e2dc7SJiawei Lin 50867682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle 50967682d05SWilliam Wang{ 51067682d05SWilliam Wang val paddr = UInt(PAddrBits.W) 5118b33cd30Sklin02 def dump(cond: Bool) = { 5128b33cd30Sklin02 XSDebug(cond, "Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 51367682d05SWilliam Wang } 51467682d05SWilliam Wang} 51567682d05SWilliam Wang 5161f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 5171f0e2dc7SJiawei Lin{ 5181f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 519144422dcSMaxpicca-Li val resp = Flipped(DecoupledIO(new DCacheWordResp)) 5201f0e2dc7SJiawei Lin} 5211f0e2dc7SJiawei Lin 52237225120Ssfencevma 52337225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle 52437225120Ssfencevma{ 52537225120Ssfencevma val cmd = UInt(M_SZ.W) 52637225120Ssfencevma val addr = UInt(PAddrBits.W) 527e04c5f64SYanqin Li val vaddr = UInt(VAddrBits.W) // for uncache buffer forwarding 528cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 529cdbff57cSHaoyuan Feng val mask = UInt((XLEN/8).W) 53037225120Ssfencevma val id = UInt(uncacheIdxBits.W) 53137225120Ssfencevma val instrtype = UInt(sourceTypeWidth.W) 53237225120Ssfencevma val atomic = Bool() 533c7353d05SYanqin Li val nc = Bool() 534519244c7SYanqin Li val memBackTypeMM = Bool() 535da3bf434SMaxpicca-Li val isFirstIssue = Bool() 53604665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 53737225120Ssfencevma 5388b33cd30Sklin02 def dump(cond: Bool) = { 5398b33cd30Sklin02 XSDebug(cond, "UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 54037225120Ssfencevma cmd, addr, data, mask, id) 54137225120Ssfencevma } 54237225120Ssfencevma} 54337225120Ssfencevma 54474050fc0SYanqin Liclass UncacheIdResp(implicit p: Parameters) extends DCacheBundle { 54574050fc0SYanqin Li val mid = UInt(uncacheIdxBits.W) 54674050fc0SYanqin Li val sid = UInt(UncacheBufferIndexWidth.W) 54774050fc0SYanqin Li val is2lq = Bool() 54874050fc0SYanqin Li val nc = Bool() 54974050fc0SYanqin Li} 55074050fc0SYanqin Li 551cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle 55237225120Ssfencevma{ 553cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 554cdbff57cSHaoyuan Feng val data_delayed = UInt(XLEN.W) 55574050fc0SYanqin Li val id = UInt(UncacheBufferIndexWidth.W) // resp identified signals 556e04c5f64SYanqin Li val nc = Bool() // resp identified signals 557e04c5f64SYanqin Li val is2lq = Bool() // resp identified signals 55837225120Ssfencevma val miss = Bool() 55937225120Ssfencevma val replay = Bool() 56037225120Ssfencevma val tag_error = Bool() 56137225120Ssfencevma val error = Bool() 56258cb1b0bSzhanglinjuan val nderr = Bool() 56304665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 564144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 56537225120Ssfencevma 566da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 5678b33cd30Sklin02 def dump(cond: Bool) = { 5688b33cd30Sklin02 XSDebug(cond, "UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 56937225120Ssfencevma data, id, miss, replay, tag_error, error) 57037225120Ssfencevma } 57137225120Ssfencevma} 57237225120Ssfencevma 5736786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle 5746786cfb7SWilliam Wang{ 57537225120Ssfencevma val req = DecoupledIO(new UncacheWordReq) 57674050fc0SYanqin Li val idResp = Flipped(ValidIO(new UncacheIdResp)) 577cdbff57cSHaoyuan Feng val resp = Flipped(DecoupledIO(new UncacheWordResp)) 5786786cfb7SWilliam Wang} 5796786cfb7SWilliam Wang 580ffd3154dSCharlieLiuclass MainPipeResp(implicit p: Parameters) extends DCacheBundle { 581ffd3154dSCharlieLiu //distinguish amo 582ffd3154dSCharlieLiu val source = UInt(sourceTypeWidth.W) 58338c29594Szhanglinjuan val data = UInt(QuadWordBits.W) 58462cb71fbShappy-lx val miss = Bool() 58562cb71fbShappy-lx val miss_id = UInt(log2Up(cfg.nMissEntries).W) 58662cb71fbShappy-lx val replay = Bool() 58762cb71fbShappy-lx val error = Bool() 58862cb71fbShappy-lx 58962cb71fbShappy-lx val ack_miss_queue = Bool() 59062cb71fbShappy-lx 59162cb71fbShappy-lx val id = UInt(reqIdWidth.W) 592ffd3154dSCharlieLiu 593ffd3154dSCharlieLiu def isAMO: Bool = source === AMO_SOURCE.U 594ffd3154dSCharlieLiu def isStore: Bool = source === STORE_SOURCE.U 59562cb71fbShappy-lx} 59662cb71fbShappy-lx 5976786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle 5981f0e2dc7SJiawei Lin{ 59962cb71fbShappy-lx val req = DecoupledIO(new MainPipeReq) 600ffd3154dSCharlieLiu val resp = Flipped(ValidIO(new MainPipeResp)) 60162cb71fbShappy-lx val block_lr = Input(Bool()) 6021f0e2dc7SJiawei Lin} 6031f0e2dc7SJiawei Lin 604dc4fac13SCharlieLiuclass CMOReq(implicit p: Parameters) extends Bundle { 605dc4fac13SCharlieLiu val opcode = UInt(3.W) // 0-cbo.clean, 1-cbo.flush, 2-cbo.inval, 3-cbo.zero 606dc4fac13SCharlieLiu val address = UInt(64.W) 607dc4fac13SCharlieLiu} 608dc4fac13SCharlieLiu 609dc4fac13SCharlieLiuclass CMOResp(implicit p: Parameters) extends Bundle { 610dc4fac13SCharlieLiu val address = UInt(64.W) 6111abade56SAnzo val nderr = Bool() 612dc4fac13SCharlieLiu} 613dc4fac13SCharlieLiu 6141f0e2dc7SJiawei Lin// used by load unit 6151f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 6161f0e2dc7SJiawei Lin{ 6171f0e2dc7SJiawei Lin // kill previous cycle's req 61808b0bc30Shappy-lx val s1_kill_data_read = Output(Bool()) // only kill bandedDataRead at s1 61908b0bc30Shappy-lx val s1_kill = Output(Bool()) // kill loadpipe req at s1 620b6982e83SLemover val s2_kill = Output(Bool()) 62104665835SMaxpicca-Li val s0_pc = Output(UInt(VAddrBits.W)) 62204665835SMaxpicca-Li val s1_pc = Output(UInt(VAddrBits.W)) 6232db9ec44SLinJiawei val s2_pc = Output(UInt(VAddrBits.W)) 624b9e121dfShappy-lx // cycle 0: load has updated replacement before 625b9e121dfShappy-lx val replacementUpdated = Output(Bool()) 62600e6f2e2Sweiding liu val is128Req = Bool() 6270d32f713Shappy-lx // cycle 0: prefetch source bits 6280d32f713Shappy-lx val pf_source = Output(UInt(L1PfSourceBits.W)) 629d2945707SHuijin Li // cycle0: load microop 630d2945707SHuijin Li // val s0_uop = Output(new MicroOp) 6311f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 6321f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 63303efd994Shappy-lx val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 63403efd994Shappy-lx val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 6351f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 63603efd994Shappy-lx // cycle 2: hit signal 63703efd994Shappy-lx val s2_hit = Input(Bool()) // hit signal for lsu, 638da3bf434SMaxpicca-Li val s2_first_hit = Input(Bool()) 639594c5198Ssfencevma val s2_bank_conflict = Input(Bool()) 64014a67055Ssfencevma val s2_wpu_pred_fail = Input(Bool()) 64114a67055Ssfencevma val s2_mq_nack = Input(Bool()) 64203efd994Shappy-lx 64303efd994Shappy-lx // debug 64403efd994Shappy-lx val debug_s1_hit_way = Input(UInt(nWays.W)) 64504665835SMaxpicca-Li val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 64604665835SMaxpicca-Li val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 64704665835SMaxpicca-Li val debug_s2_real_way_num = Input(UInt(XLEN.W)) 6481f0e2dc7SJiawei Lin} 6491f0e2dc7SJiawei Lin 6501f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 6511f0e2dc7SJiawei Lin{ 6521f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 6531f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 6541f0e2dc7SJiawei Lin} 6551f0e2dc7SJiawei Lin 656ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 657ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 658ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 659ad3ba452Szhanglinjuan 660ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 661ffd3154dSCharlieLiu //val refill_hit_resp = ValidIO(new DCacheLineResp) 662ad3ba452Szhanglinjuan 663ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 664ad3ba452Szhanglinjuan 665ffd3154dSCharlieLiu //def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 666ffd3154dSCharlieLiu def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp) 667ad3ba452Szhanglinjuan} 668ad3ba452Szhanglinjuan 669683c1411Shappy-lx// forward tilelink channel D's data to ldu 670683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 671683c1411Shappy-lx val valid = Bool() 672683c1411Shappy-lx val data = UInt(l1BusDataWidth.W) 673683c1411Shappy-lx val mshrid = UInt(log2Up(cfg.nMissEntries).W) 674683c1411Shappy-lx val last = Bool() 675066ca249Szhanglinjuan val corrupt = Bool() 676683c1411Shappy-lx 677066ca249Szhanglinjuan def apply(d: DecoupledIO[TLBundleD], edge: TLEdgeOut) = { 678066ca249Szhanglinjuan val isKeyword = d.bits.echo.lift(IsKeywordKey).getOrElse(false.B) 679066ca249Szhanglinjuan val (_, _, done, _) = edge.count(d) 680066ca249Szhanglinjuan valid := d.valid 681066ca249Szhanglinjuan data := d.bits.data 682066ca249Szhanglinjuan mshrid := d.bits.source 683066ca249Szhanglinjuan last := isKeyword ^ done 684066ca249Szhanglinjuan corrupt := d.bits.corrupt || d.bits.denied 685683c1411Shappy-lx } 686683c1411Shappy-lx 687683c1411Shappy-lx def dontCare() = { 688683c1411Shappy-lx valid := false.B 689683c1411Shappy-lx data := DontCare 690683c1411Shappy-lx mshrid := DontCare 691683c1411Shappy-lx last := DontCare 692066ca249Szhanglinjuan corrupt := false.B 693683c1411Shappy-lx } 694683c1411Shappy-lx 695683c1411Shappy-lx def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 696683c1411Shappy-lx val all_match = req_valid && valid && 697683c1411Shappy-lx req_mshr_id === mshrid && 698683c1411Shappy-lx req_paddr(log2Up(refillBytes)) === last 699683c1411Shappy-lx val forward_D = RegInit(false.B) 700cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 701683c1411Shappy-lx 702683c1411Shappy-lx val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 703683c1411Shappy-lx val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 704683c1411Shappy-lx (0 until l1BusDataWidth / 64).map(i => { 705683c1411Shappy-lx block_data(i) := data(64 * i + 63, 64 * i) 706683c1411Shappy-lx }) 707cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 708cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 709683c1411Shappy-lx 710683c1411Shappy-lx forward_D := all_match 711cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 7125adc4829SYanqin Li when (all_match) { 713683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 714683c1411Shappy-lx } 7155adc4829SYanqin Li } 716683c1411Shappy-lx 717066ca249Szhanglinjuan (forward_D, forwardData, corrupt) 718683c1411Shappy-lx } 719683c1411Shappy-lx} 720683c1411Shappy-lx 721683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 722683c1411Shappy-lx val inflight = Bool() 723683c1411Shappy-lx val paddr = UInt(PAddrBits.W) 7249ebbb510Shappy-lx val raw_data = Vec(blockRows, UInt(rowBits.W)) 725683c1411Shappy-lx val firstbeat_valid = Bool() 726683c1411Shappy-lx val lastbeat_valid = Bool() 727066ca249Szhanglinjuan val corrupt = Bool() 728683c1411Shappy-lx 729683c1411Shappy-lx // check if we can forward from mshr or D channel 730683c1411Shappy-lx def check(req_valid : Bool, req_paddr : UInt) = { 7315adc4829SYanqin Li RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) // TODO: clock gate(1-bit) 732683c1411Shappy-lx } 733683c1411Shappy-lx 734683c1411Shappy-lx def forward(req_valid : Bool, req_paddr : UInt) = { 735683c1411Shappy-lx val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 736683c1411Shappy-lx (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 737683c1411Shappy-lx 738683c1411Shappy-lx val forward_mshr = RegInit(false.B) 739cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 740683c1411Shappy-lx 7419ebbb510Shappy-lx val block_idx = req_paddr(log2Up(refillBytes), 3) 7429ebbb510Shappy-lx val block_data = raw_data 7439ebbb510Shappy-lx 744cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 745cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 746683c1411Shappy-lx 747683c1411Shappy-lx forward_mshr := all_match 748cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 749683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 750683c1411Shappy-lx } 751683c1411Shappy-lx 752683c1411Shappy-lx (forward_mshr, forwardData) 753683c1411Shappy-lx } 754683c1411Shappy-lx} 755683c1411Shappy-lx 756683c1411Shappy-lx// forward mshr's data to ldu 757683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 758066ca249Szhanglinjuan // TODO: use separate Bundles for req and resp 759683c1411Shappy-lx // req 760683c1411Shappy-lx val valid = Input(Bool()) 761683c1411Shappy-lx val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 762683c1411Shappy-lx val paddr = Input(UInt(PAddrBits.W)) 763683c1411Shappy-lx // resp 764683c1411Shappy-lx val forward_mshr = Output(Bool()) 765cdbff57cSHaoyuan Feng val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 766683c1411Shappy-lx val forward_result_valid = Output(Bool()) 767066ca249Szhanglinjuan val corrupt = Output(Bool()) 768683c1411Shappy-lx 769066ca249Szhanglinjuan // Why? What is the purpose of `connect`??? 770683c1411Shappy-lx def connect(sink: LduToMissqueueForwardIO) = { 771683c1411Shappy-lx sink.valid := valid 772683c1411Shappy-lx sink.mshrid := mshrid 773683c1411Shappy-lx sink.paddr := paddr 774683c1411Shappy-lx forward_mshr := sink.forward_mshr 775683c1411Shappy-lx forwardData := sink.forwardData 776683c1411Shappy-lx forward_result_valid := sink.forward_result_valid 777066ca249Szhanglinjuan corrupt := sink.corrupt 778683c1411Shappy-lx } 779683c1411Shappy-lx 780683c1411Shappy-lx def forward() = { 781066ca249Szhanglinjuan (forward_result_valid, forward_mshr, forwardData, corrupt) 782683c1411Shappy-lx } 783683c1411Shappy-lx} 784683c1411Shappy-lx 7850d32f713Shappy-lxclass StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 7860d32f713Shappy-lx val paddr = UInt(PAddrBits.W) 7870d32f713Shappy-lx val vaddr = UInt(VAddrBits.W) 7880d32f713Shappy-lx} 7890d32f713Shappy-lx 7901f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 79146ba64e8Ssfencevma val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 79246ba64e8Ssfencevma val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 793692e2fafSHuijin Li //val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 7949444e131Ssfencevma val tl_d_channel = Output(new DcacheToLduForwardIO) 795ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 7966786cfb7SWilliam Wang val atomics = Flipped(new AtomicWordIO) // atomics reqs 79767682d05SWilliam Wang val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 798683c1411Shappy-lx val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 799683c1411Shappy-lx val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 8001f0e2dc7SJiawei Lin} 8011f0e2dc7SJiawei Lin 80260ebee38STang Haojinclass DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 80360ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 80460ebee38STang Haojin val robHeadMissInDCache = Output(Bool()) 80560ebee38STang Haojin val robHeadOtherReplay = Input(Bool()) 80660ebee38STang Haojin} 80760ebee38STang Haojin 8081f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 809f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 810f1d78cf7SLinJiawei val l2_pf_store_only = Input(Bool()) 8111f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 8120184a80eSYanqin Li val error = ValidIO(new L1CacheErrorInfo) 8131f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 8140d32f713Shappy-lx val memSetPattenDetected = Output(Bool()) 8150d32f713Shappy-lx val lqEmpty = Input(Bool()) 8160d32f713Shappy-lx val pf_ctrl = Output(new PrefetchControlBundle) 8172fdb4d6aShappy-lx val force_write = Input(Bool()) 8186005a7e2Shappy-lx val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 81960ebee38STang Haojin val debugTopDown = new DCacheTopDownIO 8207cf78eb2Shappy-lx val debugRolling = Flipped(new RobDebugRollingIO) 821ffd3154dSCharlieLiu val l2_hint = Input(Valid(new L2ToL1Hint())) 822dc4fac13SCharlieLiu val cmoOpReq = Flipped(DecoupledIO(new CMOReq)) 823dc4fac13SCharlieLiu val cmoOpResp = DecoupledIO(new CMOResp) 824e836c770SZhaoyang You val l1Miss = Output(Bool()) 8251f0e2dc7SJiawei Lin} 8261f0e2dc7SJiawei Lin 82708b0bc30Shappy-lxprivate object ArbiterCtrl { 82808b0bc30Shappy-lx def apply(request: Seq[Bool]): Seq[Bool] = request.length match { 82908b0bc30Shappy-lx case 0 => Seq() 83008b0bc30Shappy-lx case 1 => Seq(true.B) 83108b0bc30Shappy-lx case _ => true.B +: request.tail.init.scanLeft(request.head)(_ || _).map(!_) 83208b0bc30Shappy-lx } 83308b0bc30Shappy-lx} 83408b0bc30Shappy-lx 83508b0bc30Shappy-lxclass TreeArbiter[T <: MissReqWoStoreData](val gen: T, val n: Int) extends Module{ 83608b0bc30Shappy-lx val io = IO(new ArbiterIO(gen, n)) 83708b0bc30Shappy-lx 83808b0bc30Shappy-lx def selectTree(in: Vec[Valid[T]], sIdx: UInt): Tuple2[UInt, T] = { 83908b0bc30Shappy-lx if (in.length == 1) { 84008b0bc30Shappy-lx (sIdx, in(0).bits) 84108b0bc30Shappy-lx } else if (in.length == 2) { 84208b0bc30Shappy-lx ( 84308b0bc30Shappy-lx Mux(in(0).valid, sIdx, sIdx + 1.U), 84408b0bc30Shappy-lx Mux(in(0).valid, in(0).bits, in(1).bits) 84508b0bc30Shappy-lx ) 84608b0bc30Shappy-lx } else { 84708b0bc30Shappy-lx val half = in.length / 2 84808b0bc30Shappy-lx val leftValid = in.slice(0, half).map(_.valid).reduce(_ || _) 84908b0bc30Shappy-lx val (leftIdx, leftSel) = selectTree(VecInit(in.slice(0, half)), sIdx) 85008b0bc30Shappy-lx val (rightIdx, rightSel) = selectTree(VecInit(in.slice(half, in.length)), sIdx + half.U) 85108b0bc30Shappy-lx ( 85208b0bc30Shappy-lx Mux(leftValid, leftIdx, rightIdx), 85308b0bc30Shappy-lx Mux(leftValid, leftSel, rightSel) 85408b0bc30Shappy-lx ) 85508b0bc30Shappy-lx } 85608b0bc30Shappy-lx } 85708b0bc30Shappy-lx val ins = Wire(Vec(n, Valid(gen))) 85808b0bc30Shappy-lx for (i <- 0 until n) { 85908b0bc30Shappy-lx ins(i).valid := io.in(i).valid 86008b0bc30Shappy-lx ins(i).bits := io.in(i).bits 86108b0bc30Shappy-lx } 86208b0bc30Shappy-lx val (idx, sel) = selectTree(ins, 0.U) 86308b0bc30Shappy-lx // NOTE: io.chosen is very slow, dont use it 86408b0bc30Shappy-lx io.chosen := idx 86508b0bc30Shappy-lx io.out.bits := sel 86608b0bc30Shappy-lx 86708b0bc30Shappy-lx val grant = ArbiterCtrl(io.in.map(_.valid)) 86808b0bc30Shappy-lx for ((in, g) <- io.in.zip(grant)) 86908b0bc30Shappy-lx in.ready := g && io.out.ready 87008b0bc30Shappy-lx io.out.valid := !grant.last || io.in.last.valid 87108b0bc30Shappy-lx} 87208b0bc30Shappy-lx 87308b0bc30Shappy-lxclass DCacheMEQueryIOBundle(implicit p: Parameters) extends DCacheBundle 87408b0bc30Shappy-lx{ 87508b0bc30Shappy-lx val req = ValidIO(new MissReqWoStoreData) 87608b0bc30Shappy-lx val primary_ready = Input(Bool()) 87708b0bc30Shappy-lx val secondary_ready = Input(Bool()) 87808b0bc30Shappy-lx val secondary_reject = Input(Bool()) 87908b0bc30Shappy-lx} 88008b0bc30Shappy-lx 88108b0bc30Shappy-lxclass DCacheMQQueryIOBundle(implicit p: Parameters) extends DCacheBundle 88208b0bc30Shappy-lx{ 88308b0bc30Shappy-lx val req = ValidIO(new MissReq) 88408b0bc30Shappy-lx val ready = Input(Bool()) 88508b0bc30Shappy-lx} 88608b0bc30Shappy-lx 88708b0bc30Shappy-lxclass MissReadyGen(val n: Int)(implicit p: Parameters) extends XSModule { 88808b0bc30Shappy-lx val io = IO(new Bundle { 88908b0bc30Shappy-lx val in = Vec(n, Flipped(DecoupledIO(new MissReq))) 89008b0bc30Shappy-lx val queryMQ = Vec(n, new DCacheMQQueryIOBundle) 89108b0bc30Shappy-lx }) 89208b0bc30Shappy-lx 89308b0bc30Shappy-lx val mqReadyVec = io.queryMQ.map(_.ready) 89408b0bc30Shappy-lx 89508b0bc30Shappy-lx io.queryMQ.zipWithIndex.foreach{ 89608b0bc30Shappy-lx case (q, idx) => { 89708b0bc30Shappy-lx q.req.valid := io.in(idx).valid 89808b0bc30Shappy-lx q.req.bits := io.in(idx).bits 89908b0bc30Shappy-lx } 90008b0bc30Shappy-lx } 90108b0bc30Shappy-lx io.in.zipWithIndex.map { 90208b0bc30Shappy-lx case (r, idx) => { 90308b0bc30Shappy-lx if (idx == 0) { 90408b0bc30Shappy-lx r.ready := mqReadyVec(idx) 90508b0bc30Shappy-lx } else { 90608b0bc30Shappy-lx r.ready := mqReadyVec(idx) && !Cat(io.in.slice(0, idx).map(_.valid)).orR 90708b0bc30Shappy-lx } 90808b0bc30Shappy-lx } 90908b0bc30Shappy-lx } 91008b0bc30Shappy-lx 91108b0bc30Shappy-lx} 91208b0bc30Shappy-lx 9131f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 91495e60e55STang Haojin override def shouldBeInlined: Boolean = false 9151f0e2dc7SJiawei Lin 916ffc9de54Swakafa val reqFields: Seq[BundleFieldBase] = Seq( 917ffc9de54Swakafa PrefetchField(), 918ffc9de54Swakafa ReqSourceField(), 919ffc9de54Swakafa VaddrField(VAddrBits - blockOffBits), 920d2945707SHuijin Li // IsKeywordField() 921ffc9de54Swakafa ) ++ cacheParams.aliasBitsOpt.map(AliasField) 922d2945707SHuijin Li val echoFields: Seq[BundleFieldBase] = Seq( 923d2945707SHuijin Li IsKeywordField() 924d2945707SHuijin Li ) 925ffc9de54Swakafa 9261f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 9271f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 9281f0e2dc7SJiawei Lin name = "dcache", 929ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 9301f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 9311f0e2dc7SJiawei Lin )), 932ffc9de54Swakafa requestFields = reqFields, 933ffc9de54Swakafa echoFields = echoFields 9341f0e2dc7SJiawei Lin ) 9351f0e2dc7SJiawei Lin 9361f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 93772dab974Scz4e val cacheCtrlOpt = cacheCtrlParamsOpt.map(params => LazyModule(new CtrlUnit(params))) 9381f0e2dc7SJiawei Lin 9391f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 9401f0e2dc7SJiawei Lin} 9411f0e2dc7SJiawei Lin 9421f0e2dc7SJiawei Lin 9430d32f713Shappy-lxclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 9441f0e2dc7SJiawei Lin 9451f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 9461f0e2dc7SJiawei Lin 9471f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 9481f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 9491f0e2dc7SJiawei Lin 9501f0e2dc7SJiawei Lin println("DCache:") 9511f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 9523eeae490SMaxpicca-Li println(" DCacheSetDiv: " + DCacheSetDiv) 9531f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 9541f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 9551f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 9561f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 9571f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 9581f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 9591f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 9601f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 9610d32f713Shappy-lx println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 96204665835SMaxpicca-Li println(" WPUEnable: " + dwpuParam.enWPU) 96304665835SMaxpicca-Li println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 96404665835SMaxpicca-Li println(" WPUAlgorithm: " + dwpuParam.algoName) 965e3ed843cShappy-lx println(" HasCMO: " + HasCMO) 9661f0e2dc7SJiawei Lin 9670d32f713Shappy-lx // Enable L1 Store prefetch 9680d32f713Shappy-lx val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 96946ba64e8Ssfencevma val MetaReadPort = 97046ba64e8Ssfencevma if (StorePrefetchL1Enabled) 97146ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 97246ba64e8Ssfencevma else 97346ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 97446ba64e8Ssfencevma val TagReadPort = 97546ba64e8Ssfencevma if (StorePrefetchL1Enabled) 97646ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 97746ba64e8Ssfencevma else 97846ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 9790d32f713Shappy-lx 9800d32f713Shappy-lx // Enable L1 Load prefetch 9810d32f713Shappy-lx val LoadPrefetchL1Enabled = true 9820d32f713Shappy-lx val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9830d32f713Shappy-lx val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9840d32f713Shappy-lx 9851f0e2dc7SJiawei Lin //---------------------------------------- 9861f0e2dc7SJiawei Lin // core data structures 98704665835SMaxpicca-Li val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 988ffd3154dSCharlieLiu val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 989ffd3154dSCharlieLiu val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 990ffd3154dSCharlieLiu val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 1 + LoadPipelineWidth)) // prefetch flag array 991ffd3154dSCharlieLiu val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 1)) 9920d32f713Shappy-lx val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 9930d32f713Shappy-lx val prefetcherMonitor = Module(new PrefetcherMonitor) 9940d32f713Shappy-lx val fdpMonitor = Module(new FDPrefetcherMonitor) 9950d32f713Shappy-lx val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 9960d32f713Shappy-lx val counterFilter = Module(new CounterFilter) 9971f0e2dc7SJiawei Lin bankedDataArray.dump() 9981f0e2dc7SJiawei Lin 9991f0e2dc7SJiawei Lin //---------------------------------------- 100008b0bc30Shappy-lx // miss queue 100108b0bc30Shappy-lx // missReqArb port: 100208b0bc30Shappy-lx // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 1 + 100308b0bc30Shappy-lx // hybrid * 1; disable: main pipe * 1 + load pipe * 2 + hybrid * 1 100408b0bc30Shappy-lx // higher priority is given to lower indices 100508b0bc30Shappy-lx val MissReqPortCount = if(StorePrefetchL1Enabled) 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt else 1 + backendParams.LduCnt + backendParams.HyuCnt 100608b0bc30Shappy-lx val MainPipeMissReqPort = 0 100708b0bc30Shappy-lx val HybridMissReqBase = MissReqPortCount - backendParams.HyuCnt 100808b0bc30Shappy-lx 100908b0bc30Shappy-lx //---------------------------------------- 10101f0e2dc7SJiawei Lin // core modules 101146ba64e8Ssfencevma val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 101246ba64e8Ssfencevma val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 10131f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 1014ffd3154dSCharlieLiu // val refillPipe = Module(new RefillPipe) 101508b0bc30Shappy-lx val missQueue = Module(new MissQueue(edge, MissReqPortCount)) 10161f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 10171f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 10181f0e2dc7SJiawei Lin 10190d32f713Shappy-lx missQueue.io.lqEmpty := io.lqEmpty 10205668a921SJiawei Lin missQueue.io.hartId := io.hartId 1021f1d78cf7SLinJiawei missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 102260ebee38STang Haojin missQueue.io.debugTopDown <> io.debugTopDown 1023ffd3154dSCharlieLiu missQueue.io.l2_hint <> RegNext(io.l2_hint) 1024ffd3154dSCharlieLiu missQueue.io.mainpipe_info := mainPipe.io.mainpipe_info 1025ffd3154dSCharlieLiu mainPipe.io.refill_info := missQueue.io.refill_info 10267ecd6591SCharlie Liu mainPipe.io.replace_block := missQueue.io.replace_block 1027ffd3154dSCharlieLiu mainPipe.io.sms_agt_evict_req <> io.sms_agt_evict_req 10280d32f713Shappy-lx io.memSetPattenDetected := missQueue.io.memSetPattenDetected 10295668a921SJiawei Lin 103072dab974Scz4e // l1 dcache controller 103172dab974Scz4e outer.cacheCtrlOpt.foreach { 103272dab974Scz4e case mod => 103372dab974Scz4e mod.module.io_pseudoError.foreach { 103472dab974Scz4e case x => x.ready := false.B 103572dab974Scz4e } 103672dab974Scz4e } 103772dab974Scz4e ldu.foreach { 103872dab974Scz4e case mod => 103972dab974Scz4e mod.io.pseudo_error.valid := false.B 104072dab974Scz4e mod.io.pseudo_error.bits := DontCare 104172dab974Scz4e } 104272dab974Scz4e mainPipe.io.pseudo_error.valid := false.B 104372dab974Scz4e mainPipe.io.pseudo_error.bits := DontCare 104472dab974Scz4e bankedDataArray.io.pseudo_error.valid := false.B 104572dab974Scz4e bankedDataArray.io.pseudo_error.bits := DontCare 104672dab974Scz4e 104772dab974Scz4e // pseudo tag ecc error 104872dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableTagEcc) { 104972dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 105072dab974Scz4e ldu.map(mod => mod.io.pseudo_error <> ctrlUnit.io_pseudoError(0)) 105172dab974Scz4e mainPipe.io.pseudo_error <> ctrlUnit.io_pseudoError(0) 105272dab974Scz4e ctrlUnit.io_pseudoError(0).ready := mainPipe.io.pseudo_tag_error_inj_done || 105372dab974Scz4e ldu.map(_.io.pseudo_tag_error_inj_done).reduce(_|_) 105472dab974Scz4e } 105572dab974Scz4e 105672dab974Scz4e // pseudo data ecc error 105772dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableDataEcc) { 105872dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 105972dab974Scz4e bankedDataArray.io.pseudo_error <> ctrlUnit.io_pseudoError(1) 106072dab974Scz4e ctrlUnit.io_pseudoError(1).ready := bankedDataArray.io.pseudo_error.ready && 106172dab974Scz4e (mainPipe.io.pseudo_data_error_inj_done || 106272dab974Scz4e ldu.map(_.io.pseudo_data_error_inj_done).reduce(_|_)) 106372dab974Scz4e } 106472dab974Scz4e 10659ef181f4SWilliam Wang val errors = ldu.map(_.io.error) ++ // load error 10669ef181f4SWilliam Wang Seq(mainPipe.io.error) // store / misc error 10670184a80eSYanqin Li val error_valid = errors.map(e => e.valid).reduce(_|_) 10680184a80eSYanqin Li io.error.bits <> RegEnable( 106910cfb21dScz4e ParallelMux(errors.map(e => RegNext(e.valid) -> RegEnable(e.bits, e.valid))), 10700184a80eSYanqin Li RegNext(error_valid)) 10710184a80eSYanqin Li io.error.valid := RegNext(RegNext(error_valid, init = false.B), init = false.B) 1072dd95524eSzhanglinjuan 10731f0e2dc7SJiawei Lin //---------------------------------------- 10741f0e2dc7SJiawei Lin // meta array 107546ba64e8Ssfencevma val HybridLoadReadBase = LoadPipelineWidth - backendParams.HyuCnt 107646ba64e8Ssfencevma val HybridStoreReadBase = StorePipelineWidth - backendParams.HyuCnt 107746ba64e8Ssfencevma 107846ba64e8Ssfencevma val hybrid_meta_read_ports = Wire(Vec(backendParams.HyuCnt, DecoupledIO(new MetaReadReq))) 107946ba64e8Ssfencevma val hybrid_meta_resp_ports = Wire(Vec(backendParams.HyuCnt, ldu(0).io.meta_resp.cloneType)) 108046ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 108146ba64e8Ssfencevma val HybridLoadMetaReadPort = HybridLoadReadBase + i 108246ba64e8Ssfencevma val HybridStoreMetaReadPort = HybridStoreReadBase + i 108346ba64e8Ssfencevma 108446ba64e8Ssfencevma hybrid_meta_read_ports(i).valid := ldu(HybridLoadMetaReadPort).io.meta_read.valid || 108546ba64e8Ssfencevma (stu(HybridStoreMetaReadPort).io.meta_read.valid && StorePrefetchL1Enabled.B) 108646ba64e8Ssfencevma hybrid_meta_read_ports(i).bits := Mux(ldu(HybridLoadMetaReadPort).io.meta_read.valid, ldu(HybridLoadMetaReadPort).io.meta_read.bits, 108746ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.bits) 108846ba64e8Ssfencevma 108946ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready 109046ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready && StorePrefetchL1Enabled.B 109146ba64e8Ssfencevma 109246ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 109346ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 109446ba64e8Ssfencevma } 10953af6aa6eSWilliam Wang 10963af6aa6eSWilliam Wang // read / write coh meta 109746ba64e8Ssfencevma val meta_read_ports = ldu.map(_.io.meta_read).take(HybridLoadReadBase) ++ 10980d32f713Shappy-lx Seq(mainPipe.io.meta_read) ++ 109946ba64e8Ssfencevma stu.map(_.io.meta_read).take(HybridStoreReadBase) ++ hybrid_meta_read_ports 11000d32f713Shappy-lx 110146ba64e8Ssfencevma val meta_resp_ports = ldu.map(_.io.meta_resp).take(HybridLoadReadBase) ++ 11020d32f713Shappy-lx Seq(mainPipe.io.meta_resp) ++ 110346ba64e8Ssfencevma stu.map(_.io.meta_resp).take(HybridStoreReadBase) ++ hybrid_meta_resp_ports 11040d32f713Shappy-lx 1105ad3ba452Szhanglinjuan val meta_write_ports = Seq( 1106ffd3154dSCharlieLiu mainPipe.io.meta_write 1107ffd3154dSCharlieLiu // refillPipe.io.meta_write 1108ad3ba452Szhanglinjuan ) 11090d32f713Shappy-lx if(StorePrefetchL1Enabled) { 1110ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 1111ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 11120d32f713Shappy-lx } else { 111346ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111446ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 111546ba64e8Ssfencevma (meta_resp_ports.take(HybridLoadReadBase + 1) ++ 111646ba64e8Ssfencevma meta_resp_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 11170d32f713Shappy-lx 111846ba64e8Ssfencevma meta_read_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p.ready := false.B } 111946ba64e8Ssfencevma meta_resp_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p := 0.U.asTypeOf(p) } 11200d32f713Shappy-lx } 1121ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 11221f0e2dc7SJiawei Lin 11230d32f713Shappy-lx // read extra meta (exclude stu) 112446ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 112546ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 112646ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 112746ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 112846ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 112946ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 11305d9979bdSsfencevma val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp).take(HybridLoadReadBase) ++ 11315d9979bdSsfencevma Seq(mainPipe.io.extra_meta_resp) ++ 11325d9979bdSsfencevma ldu.map(_.io.extra_meta_resp).takeRight(backendParams.HyuCnt) 11333af6aa6eSWilliam Wang extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 11343af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).error := r(i) }) 11353af6aa6eSWilliam Wang }} 11363af6aa6eSWilliam Wang extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 11373af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).prefetch := r(i) }) 11383af6aa6eSWilliam Wang }} 11393af6aa6eSWilliam Wang extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 11403af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).access := r(i) }) 11413af6aa6eSWilliam Wang }} 11423af6aa6eSWilliam Wang 11430d32f713Shappy-lx if(LoadPrefetchL1Enabled) { 11440d32f713Shappy-lx // use last port to read prefetch and access flag 1145ffd3154dSCharlieLiu// prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1146ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1147ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1148ffd3154dSCharlieLiu// 1149ffd3154dSCharlieLiu// accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1150ffd3154dSCharlieLiu// accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1151ffd3154dSCharlieLiu// accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1152ffd3154dSCharlieLiu prefetchArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1153ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1154ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11550d32f713Shappy-lx 1156ffd3154dSCharlieLiu accessArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1157ffd3154dSCharlieLiu accessArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1158ffd3154dSCharlieLiu accessArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11590d32f713Shappy-lx 1160ffd3154dSCharlieLiu val extra_flag_valid = RegNext(mainPipe.io.prefetch_flag_write.valid) 1161ffd3154dSCharlieLiu val extra_flag_way_en = RegEnable(mainPipe.io.prefetch_flag_write.bits.way_en, mainPipe.io.prefetch_flag_write.valid) 11620d32f713Shappy-lx val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 11630d32f713Shappy-lx val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 11640d32f713Shappy-lx 11656070f1e9Shappy-lx prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && extra_flag_access 11666070f1e9Shappy-lx prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && !extra_flag_access 11670d32f713Shappy-lx } 11680d32f713Shappy-lx 11693af6aa6eSWilliam Wang // write extra meta 11703af6aa6eSWilliam Wang val error_flag_write_ports = Seq( 1171ffd3154dSCharlieLiu mainPipe.io.error_flag_write // error flag generated by corrupted store 1172ffd3154dSCharlieLiu // refillPipe.io.error_flag_write // corrupted signal from l2 11733af6aa6eSWilliam Wang ) 1174026615fcSWilliam Wang error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 1175026615fcSWilliam Wang 11760d32f713Shappy-lx val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 1177ffd3154dSCharlieLiu mainPipe.io.prefetch_flag_write // set prefetch_flag to false if coh is set to Nothing 1178ffd3154dSCharlieLiu // refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 11793af6aa6eSWilliam Wang ) 11803af6aa6eSWilliam Wang prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 11813af6aa6eSWilliam Wang 118246ba64e8Ssfencevma // FIXME: add hybrid unit? 11830d32f713Shappy-lx val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 11840d32f713Shappy-lx XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 11850d32f713Shappy-lx 11863af6aa6eSWilliam Wang val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 1187ffd3154dSCharlieLiu mainPipe.io.access_flag_write 1188ffd3154dSCharlieLiu // refillPipe.io.access_flag_write 11893af6aa6eSWilliam Wang ) 11903af6aa6eSWilliam Wang access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 11913af6aa6eSWilliam Wang 1192ad3ba452Szhanglinjuan //---------------------------------------- 1193ad3ba452Szhanglinjuan // tag array 11940d32f713Shappy-lx if(StorePrefetchL1Enabled) { 119546ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + StorePipelineWidth - backendParams.HyuCnt + 1)) 11960d32f713Shappy-lx }else { 119746ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + 1)) 11980d32f713Shappy-lx } 1199ffd3154dSCharlieLiu // val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 1200ffd3154dSCharlieLiu val tag_write_intend = mainPipe.io.tag_write_intend 120109ae47d2SWilliam Wang assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 120246ba64e8Ssfencevma ldu.take(HybridLoadReadBase).zipWithIndex.foreach { 1203ad3ba452Szhanglinjuan case (ld, i) => 1204ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 1205ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 120609ae47d2SWilliam Wang ld.io.tag_read.ready := !tag_write_intend 12071f0e2dc7SJiawei Lin } 12080d32f713Shappy-lx if(StorePrefetchL1Enabled) { 120946ba64e8Ssfencevma stu.take(HybridStoreReadBase).zipWithIndex.foreach { 12100d32f713Shappy-lx case (st, i) => 121146ba64e8Ssfencevma tagArray.io.read(HybridLoadReadBase + i) <> st.io.tag_read 121246ba64e8Ssfencevma st.io.tag_resp := tagArray.io.resp(HybridLoadReadBase + i) 12130d32f713Shappy-lx st.io.tag_read.ready := !tag_write_intend 12140d32f713Shappy-lx } 12150d32f713Shappy-lx }else { 12160d32f713Shappy-lx stu.foreach { 12170d32f713Shappy-lx case st => 12180d32f713Shappy-lx st.io.tag_read.ready := false.B 12190d32f713Shappy-lx st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 12200d32f713Shappy-lx } 12210d32f713Shappy-lx } 122246ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 122346ba64e8Ssfencevma val HybridLoadTagReadPort = HybridLoadReadBase + i 122446ba64e8Ssfencevma val HybridStoreTagReadPort = HybridStoreReadBase + i 122546ba64e8Ssfencevma val TagReadPort = 122646ba64e8Ssfencevma if (EnableStorePrefetchSPB) 122746ba64e8Ssfencevma HybridLoadReadBase + HybridStoreReadBase + i 122846ba64e8Ssfencevma else 122946ba64e8Ssfencevma HybridLoadReadBase + i 123046ba64e8Ssfencevma 123146ba64e8Ssfencevma // read tag 123246ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := false.B 123346ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := false.B 123446ba64e8Ssfencevma 123546ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 123646ba64e8Ssfencevma when (ldu(HybridLoadTagReadPort).io.tag_read.valid) { 123746ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 123846ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 123946ba64e8Ssfencevma } .otherwise { 124046ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> stu(HybridStoreTagReadPort).io.tag_read 124146ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := !tag_write_intend 124246ba64e8Ssfencevma } 124346ba64e8Ssfencevma } else { 124446ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 124546ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 124646ba64e8Ssfencevma } 124746ba64e8Ssfencevma 124846ba64e8Ssfencevma // tag resp 124946ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 125046ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 125146ba64e8Ssfencevma } 1252ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 1253ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 1254ad3ba452Szhanglinjuan 125509ae47d2SWilliam Wang val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 125609ae47d2SWilliam Wang XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 125709ae47d2SWilliam Wang 1258ffd3154dSCharlieLiu val tag_write_arb = Module(new Arbiter(new TagWriteReq, 1)) 1259ffd3154dSCharlieLiu // tag_write_arb.io.in(0) <> refillPipe.io.tag_write 1260ffd3154dSCharlieLiu tag_write_arb.io.in(0) <> mainPipe.io.tag_write 1261ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 12621f0e2dc7SJiawei Lin 126304665835SMaxpicca-Li ldu.map(m => { 126404665835SMaxpicca-Li m.io.vtag_update.valid := tagArray.io.write.valid 126504665835SMaxpicca-Li m.io.vtag_update.bits := tagArray.io.write.bits 126604665835SMaxpicca-Li }) 126704665835SMaxpicca-Li 12681f0e2dc7SJiawei Lin //---------------------------------------- 12691f0e2dc7SJiawei Lin // data array 1270d2b20d1aSTang Haojin mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 12711f0e2dc7SJiawei Lin 1272ffd3154dSCharlieLiu val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 1)) 1273ffd3154dSCharlieLiu // dataWriteArb.io.in(0) <> refillPipe.io.data_write 1274ffd3154dSCharlieLiu dataWriteArb.io.in(0) <> mainPipe.io.data_write 1275ad3ba452Szhanglinjuan 1276ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 12771f0e2dc7SJiawei Lin 12786c7e5e86Szhanglinjuan for (bank <- 0 until DCacheBanks) { 1279ffd3154dSCharlieLiu val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 1)) 1280ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1281ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1282ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).valid := mainPipe.io.data_write_dup(bank).valid 1283ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).bits := mainPipe.io.data_write_dup(bank).bits 12846c7e5e86Szhanglinjuan 12856c7e5e86Szhanglinjuan bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 12866c7e5e86Szhanglinjuan } 12876c7e5e86Szhanglinjuan 1288d2b20d1aSTang Haojin bankedDataArray.io.readline <> mainPipe.io.data_readline 1289*ebe07d61S梁森 Liang Sen bankedDataArray.io.readline_can_go := mainPipe.io.data_readline_can_go 1290*ebe07d61S梁森 Liang Sen bankedDataArray.io.readline_stall := mainPipe.io.data_readline_stall 1291*ebe07d61S梁森 Liang Sen bankedDataArray.io.readline_can_resp := mainPipe.io.data_readline_can_resp 12927a5caa97Szhanglinjuan bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 12936786cfb7SWilliam Wang mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1294144422dcSMaxpicca-Li mainPipe.io.data_resp := bankedDataArray.io.readline_resp 12951f0e2dc7SJiawei Lin 12969ef181f4SWilliam Wang (0 until LoadPipelineWidth).map(i => { 12979ef181f4SWilliam Wang bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1298cdbff57cSHaoyuan Feng bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 12996786cfb7SWilliam Wang bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 13009ef181f4SWilliam Wang 1301d4564868Sweiding liu ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp(i) 1302144422dcSMaxpicca-Li 13039ef181f4SWilliam Wang ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 13049ef181f4SWilliam Wang }) 1305066ca249Szhanglinjuan 1306774f100aSWilliam Wang (0 until LoadPipelineWidth).map(i => { 1307683c1411Shappy-lx when(bus.d.bits.opcode === TLMessages.GrantData) { 1308066ca249Szhanglinjuan io.lsu.forward_D(i).apply(bus.d, edge) 1309683c1411Shappy-lx }.otherwise { 1310683c1411Shappy-lx io.lsu.forward_D(i).dontCare() 1311683c1411Shappy-lx } 1312683c1411Shappy-lx }) 13139444e131Ssfencevma // tl D channel wakeup 13149444e131Ssfencevma when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 1315066ca249Szhanglinjuan io.lsu.tl_d_channel.apply(bus.d, edge) 13169444e131Ssfencevma } .otherwise { 13179444e131Ssfencevma io.lsu.tl_d_channel.dontCare() 13189444e131Ssfencevma } 13192fdb4d6aShappy-lx mainPipe.io.force_write <> io.force_write 1320683c1411Shappy-lx 132104665835SMaxpicca-Li /** dwpu */ 13224a0e27ecSYanqin Li if (dwpuParam.enWPU) { 132304665835SMaxpicca-Li val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 132404665835SMaxpicca-Li for(i <- 0 until LoadPipelineWidth){ 132504665835SMaxpicca-Li dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 132604665835SMaxpicca-Li dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 132704665835SMaxpicca-Li dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 132804665835SMaxpicca-Li dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 132904665835SMaxpicca-Li } 133004665835SMaxpicca-Li dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 133104665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 133204665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 13334a0e27ecSYanqin Li } else { 13344a0e27ecSYanqin Li for(i <- 0 until LoadPipelineWidth){ 13354a0e27ecSYanqin Li ldu(i).io.dwpu.req(0).ready := true.B 13364a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).valid := false.B 13374a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).bits := DontCare 13384a0e27ecSYanqin Li } 13394a0e27ecSYanqin Li } 134004665835SMaxpicca-Li 13411f0e2dc7SJiawei Lin //---------------------------------------- 13421f0e2dc7SJiawei Lin // load pipe 13431f0e2dc7SJiawei Lin // the s1 kill signal 13441f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 13451f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 13461f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 13471f0e2dc7SJiawei Lin 1348cdbff57cSHaoyuan Feng // TODO:when have load128Req 134900e6f2e2Sweiding liu ldu(w).io.load128Req := io.lsu.load(w).is128Req 1350cdbff57cSHaoyuan Feng 13511f0e2dc7SJiawei Lin // replay and nack not needed anymore 13521f0e2dc7SJiawei Lin // TODO: remove replay and nack 13531f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 13541f0e2dc7SJiawei Lin 13551f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 13567a5caa97Szhanglinjuan bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 13571f0e2dc7SJiawei Lin } 13581f0e2dc7SJiawei Lin 13590d32f713Shappy-lx prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 13600d32f713Shappy-lx prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 13610d32f713Shappy-lx prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 13620d32f713Shappy-lx prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 13630d32f713Shappy-lx io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 13640d32f713Shappy-lx XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 13650d32f713Shappy-lx XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 13660d32f713Shappy-lx XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 13670d32f713Shappy-lx XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 13680d32f713Shappy-lx 1369da3bf434SMaxpicca-Li /** LoadMissDB: record load miss state */ 1370c686adcdSYinan Xu val hartId = p(XSCoreParamsKey).HartId 1371c686adcdSYinan Xu val isWriteLoadMissTable = Constantin.createRecord(s"isWriteLoadMissTable$hartId") 1372c686adcdSYinan Xu val isFirstHitWrite = Constantin.createRecord(s"isFirstHitWrite$hartId") 1373c686adcdSYinan Xu val tableName = s"LoadMissDB$hartId" 1374c686adcdSYinan Xu val siteName = s"DcacheWrapper$hartId" 1375da3bf434SMaxpicca-Li val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1376da3bf434SMaxpicca-Li for( i <- 0 until LoadPipelineWidth){ 1377da3bf434SMaxpicca-Li val loadMissEntry = Wire(new LoadMissEntry) 1378da3bf434SMaxpicca-Li val loadMissWriteEn = 1379da3bf434SMaxpicca-Li (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1380da3bf434SMaxpicca-Li (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1381da3bf434SMaxpicca-Li loadMissEntry.timeCnt := GTimer() 1382da3bf434SMaxpicca-Li loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1383da3bf434SMaxpicca-Li loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1384da3bf434SMaxpicca-Li loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1385da3bf434SMaxpicca-Li loadMissEntry.missState := OHToUInt(Cat(Seq( 1386da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1387da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1388da3bf434SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1389da3bf434SMaxpicca-Li ))) 1390da3bf434SMaxpicca-Li loadMissTable.log( 1391da3bf434SMaxpicca-Li data = loadMissEntry, 1392da3bf434SMaxpicca-Li en = isWriteLoadMissTable.orR && loadMissWriteEn, 1393da3bf434SMaxpicca-Li site = siteName, 1394da3bf434SMaxpicca-Li clock = clock, 1395da3bf434SMaxpicca-Li reset = reset 1396da3bf434SMaxpicca-Li ) 1397da3bf434SMaxpicca-Li } 1398da3bf434SMaxpicca-Li 1399c686adcdSYinan Xu val isWriteLoadAccessTable = Constantin.createRecord(s"isWriteLoadAccessTable$hartId") 1400c686adcdSYinan Xu val loadAccessTable = ChiselDB.createTable(s"LoadAccessDB$hartId", new LoadAccessEntry) 140104665835SMaxpicca-Li for (i <- 0 until LoadPipelineWidth) { 140204665835SMaxpicca-Li val loadAccessEntry = Wire(new LoadAccessEntry) 140304665835SMaxpicca-Li loadAccessEntry.timeCnt := GTimer() 140404665835SMaxpicca-Li loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 140504665835SMaxpicca-Li loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 140604665835SMaxpicca-Li loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 140704665835SMaxpicca-Li loadAccessEntry.missState := OHToUInt(Cat(Seq( 140804665835SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 140904665835SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 141004665835SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 141104665835SMaxpicca-Li ))) 141204665835SMaxpicca-Li loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 141304665835SMaxpicca-Li loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 141404665835SMaxpicca-Li loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 141504665835SMaxpicca-Li loadAccessTable.log( 141604665835SMaxpicca-Li data = loadAccessEntry, 141704665835SMaxpicca-Li en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 141804665835SMaxpicca-Li site = siteName + "_loadpipe" + i.toString, 141904665835SMaxpicca-Li clock = clock, 142004665835SMaxpicca-Li reset = reset 142104665835SMaxpicca-Li ) 142204665835SMaxpicca-Li } 142304665835SMaxpicca-Li 14241f0e2dc7SJiawei Lin //---------------------------------------- 14250d32f713Shappy-lx // Sta pipe 142646ba64e8Ssfencevma for (w <- 0 until StorePipelineWidth) { 14270d32f713Shappy-lx stu(w).io.lsu <> io.lsu.sta(w) 14280d32f713Shappy-lx } 14290d32f713Shappy-lx 14300d32f713Shappy-lx //---------------------------------------- 14311f0e2dc7SJiawei Lin // atomics 14321f0e2dc7SJiawei Lin // atomics not finished yet 14335adc4829SYanqin Li val atomic_resp_valid = mainPipe.io.atomic_resp.valid && mainPipe.io.atomic_resp.bits.isAMO 14345adc4829SYanqin Li io.lsu.atomics.resp.valid := RegNext(atomic_resp_valid) 14355adc4829SYanqin Li io.lsu.atomics.resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, atomic_resp_valid) 143662cb71fbShappy-lx io.lsu.atomics.block_lr := mainPipe.io.block_lr 14371f0e2dc7SJiawei Lin 14381f0e2dc7SJiawei Lin // Request 143908b0bc30Shappy-lx val missReqArb = Module(new TreeArbiter(new MissReq, MissReqPortCount)) 144008b0bc30Shappy-lx // seperately generating miss queue enq ready for better timeing 144108b0bc30Shappy-lx val missReadyGen = Module(new MissReadyGen(MissReqPortCount)) 14421f0e2dc7SJiawei Lin 1443a98b054bSWilliam Wang missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 144408b0bc30Shappy-lx missReadyGen.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 144508b0bc30Shappy-lx for (w <- 0 until backendParams.LduCnt) { 144608b0bc30Shappy-lx missReqArb.io.in(w + 1) <> ldu(w).io.miss_req 144708b0bc30Shappy-lx missReadyGen.io.in(w + 1) <> ldu(w).io.miss_req 144808b0bc30Shappy-lx } 14491f0e2dc7SJiawei Lin 1450fa9ac9b6SWilliam Wang for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1451fa9ac9b6SWilliam Wang mainPipe.io.miss_resp := missQueue.io.resp 1452683c1411Shappy-lx 14530d32f713Shappy-lx if(StorePrefetchL1Enabled) { 145408b0bc30Shappy-lx for (w <- 0 until backendParams.StaCnt) { 145508b0bc30Shappy-lx missReqArb.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 145608b0bc30Shappy-lx missReadyGen.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 145708b0bc30Shappy-lx } 14580d32f713Shappy-lx }else { 1459d7739d95Ssfencevma for (w <- 0 until backendParams.StaCnt) { stu(w).io.miss_req.ready := false.B } 14600d32f713Shappy-lx } 14610d32f713Shappy-lx 146246ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 146346ba64e8Ssfencevma val HybridLoadReqPort = HybridLoadReadBase + i 146446ba64e8Ssfencevma val HybridStoreReqPort = HybridStoreReadBase + i 146546ba64e8Ssfencevma val HybridMissReqPort = HybridMissReqBase + i 146646ba64e8Ssfencevma 146746ba64e8Ssfencevma ldu(HybridLoadReqPort).io.miss_req.ready := false.B 146846ba64e8Ssfencevma stu(HybridStoreReqPort).io.miss_req.ready := false.B 146946ba64e8Ssfencevma 147046ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 147146ba64e8Ssfencevma when (ldu(HybridLoadReqPort).io.miss_req.valid) { 147246ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 147308b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 147446ba64e8Ssfencevma } .otherwise { 147546ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 147608b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 147746ba64e8Ssfencevma } 147846ba64e8Ssfencevma } else { 147946ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 148008b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 148146ba64e8Ssfencevma } 148246ba64e8Ssfencevma } 148346ba64e8Ssfencevma 148408b0bc30Shappy-lx for(w <- 0 until LoadPipelineWidth) { 148508b0bc30Shappy-lx wb.io.miss_req_conflict_check(w) := ldu(w).io.wbq_conflict_check 148608b0bc30Shappy-lx ldu(w).io.wbq_block_miss_req := wb.io.block_miss_req(w) 148708b0bc30Shappy-lx } 148846ba64e8Ssfencevma 148908b0bc30Shappy-lx wb.io.miss_req_conflict_check(3) := mainPipe.io.wbq_conflict_check 149008b0bc30Shappy-lx mainPipe.io.wbq_block_miss_req := wb.io.block_miss_req(3) 14911f0e2dc7SJiawei Lin 149208b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).valid := missReqArb.io.out.valid 149308b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).bits := missReqArb.io.out.bits.addr 149408b0bc30Shappy-lx missQueue.io.wbq_block_miss_req := wb.io.block_miss_req(4) 149508b0bc30Shappy-lx 1496a98b054bSWilliam Wang missReqArb.io.out <> missQueue.io.req 149708b0bc30Shappy-lx missReadyGen.io.queryMQ <> missQueue.io.queryMQ 1498dc4fac13SCharlieLiu io.cmoOpReq <> missQueue.io.cmo_req 1499dc4fac13SCharlieLiu io.cmoOpResp <> missQueue.io.cmo_resp 15001f0e2dc7SJiawei Lin 15016008d57dShappy-lx XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 15026008d57dShappy-lx XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 15036b5c3d02Shappy-lx 15046b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 15056b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 15066b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 15076008d57dShappy-lx 1508683c1411Shappy-lx // forward missqueue 1509683c1411Shappy-lx (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1510683c1411Shappy-lx 15111f0e2dc7SJiawei Lin // refill to load queue 1512692e2fafSHuijin Li // io.lsu.lsq <> missQueue.io.refill_to_ldq 15131f0e2dc7SJiawei Lin 15141f0e2dc7SJiawei Lin // tilelink stuff 15151f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 15161f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 1517ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 15187ecd6591SCharlie Liu missQueue.io.replace_addr := mainPipe.io.replace_addr 1519ad3ba452Szhanglinjuan 15205adc4829SYanqin Li missQueue.io.main_pipe_resp.valid := RegNext(mainPipe.io.atomic_resp.valid) 15215adc4829SYanqin Li missQueue.io.main_pipe_resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, mainPipe.io.atomic_resp.valid) 15221f0e2dc7SJiawei Lin 15231f0e2dc7SJiawei Lin //---------------------------------------- 15241f0e2dc7SJiawei Lin // probe 15251f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 15261f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1527ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1528300ded30SWilliam Wang probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 15291f0e2dc7SJiawei Lin 1530ffd3154dSCharlieLiu val refill_req = RegNext(missQueue.io.main_pipe_req.valid && ((missQueue.io.main_pipe_req.bits.isLoad) | (missQueue.io.main_pipe_req.bits.isStore))) 15311f0e2dc7SJiawei Lin //---------------------------------------- 15321f0e2dc7SJiawei Lin // mainPipe 1533ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1534ad3ba452Szhanglinjuan // block the req in main pipe 1535be007c1eSCharlieLiu probeQueue.io.pipe_req <> mainPipe.io.probe_req 1536be007c1eSCharlieLiu io.lsu.store.req <> mainPipe.io.store_req 15371f0e2dc7SJiawei Lin 15385adc4829SYanqin Li io.lsu.store.replay_resp.valid := RegNext(mainPipe.io.store_replay_resp.valid) 15395adc4829SYanqin Li io.lsu.store.replay_resp.bits := RegEnable(mainPipe.io.store_replay_resp.bits, mainPipe.io.store_replay_resp.valid) 1540ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 15411f0e2dc7SJiawei Lin 1542ffd3154dSCharlieLiu mainPipe.io.atomic_req <> io.lsu.atomics.req 15431f0e2dc7SJiawei Lin 1544d67c873fSzhanglinjuan mainPipe.io.invalid_resv_set := RegNext( 1545d67c873fSzhanglinjuan wb.io.req.fire && 1546d67c873fSzhanglinjuan wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits && 1547d67c873fSzhanglinjuan mainPipe.io.lrsc_locked_block.valid 1548d67c873fSzhanglinjuan ) 15491f0e2dc7SJiawei Lin 1550ad3ba452Szhanglinjuan //---------------------------------------- 1551b36dd5fdSWilliam Wang // replace (main pipe) 1552ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 1553ffd3154dSCharlieLiu mainPipe.io.refill_req <> missQueue.io.main_pipe_req 15541f0e2dc7SJiawei Lin 1555ffd3154dSCharlieLiu mainPipe.io.data_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1556ffd3154dSCharlieLiu mainPipe.io.tag_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1557c3a5fe5fShappy-lx mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1558c3a5fe5fShappy-lx 15591f0e2dc7SJiawei Lin //---------------------------------------- 15601f0e2dc7SJiawei Lin // wb 15611f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1562026615fcSWilliam Wang 1563578c21a4Szhanglinjuan wb.io.req <> mainPipe.io.wb 15641f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 1565ffd3154dSCharlieLiu // wb.io.release_wakeup := refillPipe.io.release_wakeup 1566ffd3154dSCharlieLiu // wb.io.release_update := mainPipe.io.release_update 1567ffd3154dSCharlieLiu //wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1568ffd3154dSCharlieLiu //wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1569ef3b5b96SWilliam Wang 1570935edac4STang Haojin io.lsu.release.valid := RegNext(wb.io.req.fire) 15715adc4829SYanqin Li io.lsu.release.bits.paddr := RegEnable(wb.io.req.bits.addr, wb.io.req.fire) 1572ef3b5b96SWilliam Wang // Note: RegNext() is required by: 1573ef3b5b96SWilliam Wang // * load queue released flag update logic 1574ef3b5b96SWilliam Wang // * load / load violation check logic 1575ef3b5b96SWilliam Wang // * and timing requirements 1576ef3b5b96SWilliam Wang // CHANGE IT WITH CARE 15771f0e2dc7SJiawei Lin 15781f0e2dc7SJiawei Lin // connect bus d 15791f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 15801f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 15811f0e2dc7SJiawei Lin 15821f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 15831f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 15841f0e2dc7SJiawei Lin 15851f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 15861f0e2dc7SJiawei Lin bus.d.ready := false.B 1587dc4fac13SCharlieLiu when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.CBOAck) { 15881f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 15891f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 15901f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 15911f0e2dc7SJiawei Lin } .otherwise { 1592935edac4STang Haojin assert (!bus.d.fire) 15931f0e2dc7SJiawei Lin } 15941f0e2dc7SJiawei Lin 15951f0e2dc7SJiawei Lin //---------------------------------------- 15960d32f713Shappy-lx // Feedback Direct Prefetch Monitor 15970d32f713Shappy-lx fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 15980d32f713Shappy-lx fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 15990d32f713Shappy-lx fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 16000d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { 16010d32f713Shappy-lx if(w == 0) { 16020d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 16030d32f713Shappy-lx }else { 16040d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 16050d32f713Shappy-lx } 16060d32f713Shappy-lx } 16070d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 16080d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 16097cf78eb2Shappy-lx fdpMonitor.io.debugRolling := io.debugRolling 16100d32f713Shappy-lx 16110d32f713Shappy-lx //---------------------------------------- 16120d32f713Shappy-lx // Bloom Filter 1613ffd3154dSCharlieLiu // bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1614ffd3154dSCharlieLiu // bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1615ffd3154dSCharlieLiu bloomFilter.io.set <> mainPipe.io.bloom_filter_query.set 1616ffd3154dSCharlieLiu bloomFilter.io.clr <> mainPipe.io.bloom_filter_query.clr 16170d32f713Shappy-lx 16180d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 16190d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 16200d32f713Shappy-lx 16210d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 16220d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 16230d32f713Shappy-lx 16240d32f713Shappy-lx //---------------------------------------- 1625ad3ba452Szhanglinjuan // replacement algorithm 1626ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 16270d32f713Shappy-lx val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 162804665835SMaxpicca-Li 162904665835SMaxpicca-Li if (dwpuParam.enCfPred) { 16304a0e27ecSYanqin Li val victimList = VictimList(nSets) 1631ad3ba452Szhanglinjuan replWayReqs.foreach { 1632ad3ba452Szhanglinjuan case req => 1633ad3ba452Szhanglinjuan req.way := DontCare 163404665835SMaxpicca-Li when(req.set.valid) { 163504665835SMaxpicca-Li when(victimList.whether_sa(req.set.bits)) { 163604665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 163704665835SMaxpicca-Li }.otherwise { 163804665835SMaxpicca-Li req.way := req.dmWay 163904665835SMaxpicca-Li } 164004665835SMaxpicca-Li } 164104665835SMaxpicca-Li } 164204665835SMaxpicca-Li } else { 164304665835SMaxpicca-Li replWayReqs.foreach { 164404665835SMaxpicca-Li case req => 164504665835SMaxpicca-Li req.way := DontCare 164604665835SMaxpicca-Li when(req.set.valid) { 164704665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 164804665835SMaxpicca-Li } 164904665835SMaxpicca-Li } 1650ad3ba452Szhanglinjuan } 1651ad3ba452Szhanglinjuan 1652ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 165392816bbcSWilliam Wang mainPipe.io.replace_access 16540d32f713Shappy-lx ) ++ stu.map(_.io.replace_access) 1655ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1656ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 1657ad3ba452Szhanglinjuan case (w, req) => 1658ad3ba452Szhanglinjuan w.valid := req.valid 1659ad3ba452Szhanglinjuan w.bits := req.bits.way 1660ad3ba452Szhanglinjuan } 1661ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 1662ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 1663ad3ba452Szhanglinjuan 1664ad3ba452Szhanglinjuan //---------------------------------------- 16651f0e2dc7SJiawei Lin // assertions 16661f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 166745def856STang Haojin import freechips.rocketchip.util._ 1668935edac4STang Haojin when (bus.a.fire) { 16695bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.a.bits.address)).reduce(_ || _)) 16701f0e2dc7SJiawei Lin } 1671935edac4STang Haojin when (bus.b.fire) { 16725bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.b.bits.address)).reduce(_ || _)) 16731f0e2dc7SJiawei Lin } 1674935edac4STang Haojin when (bus.c.fire) { 16755bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.c.bits.address)).reduce(_ || _)) 16761f0e2dc7SJiawei Lin } 16771f0e2dc7SJiawei Lin 16781f0e2dc7SJiawei Lin //---------------------------------------- 16791f0e2dc7SJiawei Lin // utility functions 16801f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 16811f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 16821f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 16831f0e2dc7SJiawei Lin sink.bits := source.bits 16841f0e2dc7SJiawei Lin } 16851f0e2dc7SJiawei Lin 1686e19f7967SWilliam Wang //---------------------------------------- 16871f0e2dc7SJiawei Lin // performance counters 1688935edac4STang Haojin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 16891f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 16901f0e2dc7SJiawei Lin 16911f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 1692e836c770SZhaoyang You io.l1Miss := missQueue.io.l1Miss 1693ad3ba452Szhanglinjuan 1694ad3ba452Szhanglinjuan // performance counter 1695ffd3154dSCharlieLiu // val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1696ffd3154dSCharlieLiu // val st_access = Wire(ld_access.last.cloneType) 1697ffd3154dSCharlieLiu // ld_access.zip(ldu).foreach { 1698ffd3154dSCharlieLiu // case (a, u) => 16995adc4829SYanqin Li // a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 17005adc4829SYanqin Li // a.bits.idx := RegEnable(get_idx(u.io.lsu.req.bits.vaddr), u.io.lsu.req.fire) 1701ffd3154dSCharlieLiu // a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1702ffd3154dSCharlieLiu // } 17035adc4829SYanqin Li // st_access.valid := RegNext(mainPipe.io.store_req.fire) 17045adc4829SYanqin Li // st_access.bits.idx := RegEnable(get_idx(mainPipe.io.store_req.bits.vaddr), mainPipe.io.store_req.fire) 17055adc4829SYanqin Li // st_access.bits.tag := RegEnable(get_tag(mainPipe.io.store_req.bits.addr), mainPipe.io.store_req.fire) 1706ffd3154dSCharlieLiu // val access_info = ld_access.toSeq ++ Seq(st_access) 17075adc4829SYanqin Li // val early_replace = RegNext(missQueue.io.debug_early_replace) // TODO: clock gate 1708ffd3154dSCharlieLiu // val access_early_replace = access_info.map { 1709ffd3154dSCharlieLiu // case acc => 1710ffd3154dSCharlieLiu // Cat(early_replace.map { 1711ffd3154dSCharlieLiu // case r => 1712ffd3154dSCharlieLiu // acc.valid && r.valid && 1713ffd3154dSCharlieLiu // acc.bits.tag === r.bits.tag && 1714ffd3154dSCharlieLiu // acc.bits.idx === r.bits.idx 1715ffd3154dSCharlieLiu // }) 1716ffd3154dSCharlieLiu // } 1717ffd3154dSCharlieLiu // XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1718cd365d4cSrvcoresjw 17191ca0e4f3SYinan Xu val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 17201ca0e4f3SYinan Xu generatePerfEvent() 17211f0e2dc7SJiawei Lin} 17221f0e2dc7SJiawei Lin 17231f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 17241f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 17251f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 17261f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 17271f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 17281f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 17291f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 17301f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 17311f0e2dc7SJiawei Lin} 17321f0e2dc7SJiawei Lin 173372dab974Scz4eclass DCacheWrapper()(implicit p: Parameters) extends LazyModule 173472dab974Scz4e with HasXSParameter 173572dab974Scz4e with HasDCacheParameters 173672dab974Scz4e{ 173795e60e55STang Haojin override def shouldBeInlined: Boolean = false 17381f0e2dc7SJiawei Lin 17394f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 17404f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 17414f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 17424f94c0c6SJiawei Lin if (useDcache) { 17431f0e2dc7SJiawei Lin clientNode := dcache.clientNode 17441f0e2dc7SJiawei Lin } 174572dab974Scz4e val uncacheNode = OptionWrapper(cacheCtrlParamsOpt.isDefined, TLIdentityNode()) 174672dab974Scz4e require( 174772dab974Scz4e (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) || 174872dab974Scz4e (!uncacheNode.isDefined && !dcache.cacheCtrlOpt.isDefined), "uncacheNode and ctrlUnitOpt are not connected!") 174972dab974Scz4e if (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) { 175072dab974Scz4e dcache.cacheCtrlOpt.get.node := uncacheNode.get 175172dab974Scz4e } 17521f0e2dc7SJiawei Lin 1753935edac4STang Haojin class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 17541f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 17551ca0e4f3SYinan Xu val perfEvents = if (!useDcache) { 17564f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 17571f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 17581f0e2dc7SJiawei Lin io <> fake_dcache.io 17591ca0e4f3SYinan Xu Seq() 17601f0e2dc7SJiawei Lin } 17611f0e2dc7SJiawei Lin else { 17621f0e2dc7SJiawei Lin io <> dcache.module.io 17631ca0e4f3SYinan Xu dcache.module.getPerfEvents 17641f0e2dc7SJiawei Lin } 17651ca0e4f3SYinan Xu generatePerfEvent() 17661f0e2dc7SJiawei Lin } 1767935edac4STang Haojin 1768935edac4STang Haojin lazy val module = new DCacheWrapperImp(this) 17691f0e2dc7SJiawei Lin} 1770