1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 170f22ee7cSWilliam Wangpackage xiangshan.mem 180f22ee7cSWilliam Wang 190f22ee7cSWilliam Wangimport chisel3._ 200f22ee7cSWilliam Wangimport chisel3.util._ 210f22ee7cSWilliam Wangimport xiangshan._ 220f22ee7cSWilliam Wangimport utils._ 23*3c02ee8fSwakafaimport utility._ 240f22ee7cSWilliam Wangimport xiangshan.cache._ 250f22ee7cSWilliam Wang 262225d46eSJiawei Linclass MaskedSyncDataModuleTemplate[T <: Data]( 2739f2ec76SWilliam Wang gen: T, 2839f2ec76SWilliam Wang numEntries: Int, 2939f2ec76SWilliam Wang numRead: Int, 3039f2ec76SWilliam Wang numWrite: Int, 3139f2ec76SWilliam Wang numMRead: Int = 0, 3239f2ec76SWilliam Wang numMWrite: Int = 0 332225d46eSJiawei Lin) extends Module { 340f22ee7cSWilliam Wang val io = IO(new Bundle { 350f22ee7cSWilliam Wang // address indexed sync read 360f22ee7cSWilliam Wang val raddr = Input(Vec(numRead, UInt(log2Up(numEntries).W))) 370f22ee7cSWilliam Wang val rdata = Output(Vec(numRead, gen)) 380f22ee7cSWilliam Wang // masked sync read (1H) 390f22ee7cSWilliam Wang val mrmask = Input(Vec(numMRead, Vec(numEntries, Bool()))) 400f22ee7cSWilliam Wang val mrdata = Output(Vec(numMRead, gen)) 410f22ee7cSWilliam Wang // address indexed write 420f22ee7cSWilliam Wang val wen = Input(Vec(numWrite, Bool())) 430f22ee7cSWilliam Wang val waddr = Input(Vec(numWrite, UInt(log2Up(numEntries).W))) 440f22ee7cSWilliam Wang val wdata = Input(Vec(numWrite, gen)) 450f22ee7cSWilliam Wang // masked write 460f22ee7cSWilliam Wang val mwmask = Input(Vec(numMWrite, Vec(numEntries, Bool()))) 470f22ee7cSWilliam Wang val mwdata = Input(Vec(numMWrite, gen)) 480f22ee7cSWilliam Wang }) 490f22ee7cSWilliam Wang 500f22ee7cSWilliam Wang val data = Reg(Vec(numEntries, gen)) 510f22ee7cSWilliam Wang 520f22ee7cSWilliam Wang // read ports 530f22ee7cSWilliam Wang for (i <- 0 until numRead) { 540f22ee7cSWilliam Wang io.rdata(i) := data(RegNext(io.raddr(i))) 550f22ee7cSWilliam Wang } 560f22ee7cSWilliam Wang 570f22ee7cSWilliam Wang // masked read ports 580f22ee7cSWilliam Wang for (i <- 0 until numMRead) { 590f22ee7cSWilliam Wang io.mrdata(i) := Mux1H(RegNext(io.mrmask(i)), data) 600f22ee7cSWilliam Wang } 610f22ee7cSWilliam Wang 620f22ee7cSWilliam Wang // write ports (with priorities) 630f22ee7cSWilliam Wang for (i <- 0 until numWrite) { 640f22ee7cSWilliam Wang when (io.wen(i)) { 650f22ee7cSWilliam Wang data(io.waddr(i)) := io.wdata(i) 660f22ee7cSWilliam Wang } 670f22ee7cSWilliam Wang } 680f22ee7cSWilliam Wang 690f22ee7cSWilliam Wang // masked write 700f22ee7cSWilliam Wang for (j <- 0 until numEntries) { 710f22ee7cSWilliam Wang val wen = VecInit((0 until numMWrite).map(i => io.mwmask(i)(j))).asUInt.orR 720f22ee7cSWilliam Wang when (wen) { 730f22ee7cSWilliam Wang data(j) := VecInit((0 until numMWrite).map(i => { 740f22ee7cSWilliam Wang Mux(io.mwmask(i)(j), io.mwdata(i), 0.U).asUInt 750f22ee7cSWilliam Wang })).reduce(_ | _) 760f22ee7cSWilliam Wang } 770f22ee7cSWilliam Wang } 780f22ee7cSWilliam Wang 790f22ee7cSWilliam Wang // DataModuleTemplate should not be used when there're any write conflicts 800f22ee7cSWilliam Wang for (i <- 0 until numWrite) { 810f22ee7cSWilliam Wang for (j <- i+1 until numWrite) { 820f22ee7cSWilliam Wang assert(!(io.wen(i) && io.wen(j) && io.waddr(i) === io.waddr(j))) 830f22ee7cSWilliam Wang } 840f22ee7cSWilliam Wang } 850f22ee7cSWilliam Wang} 8639f2ec76SWilliam Wang 8739f2ec76SWilliam Wangclass MaskedBankedSyncDataModuleTemplate[T <: Data]( 8839f2ec76SWilliam Wang gen: T, 8939f2ec76SWilliam Wang numEntries: Int, 9039f2ec76SWilliam Wang numRead: Int, 9139f2ec76SWilliam Wang numWrite: Int, 9239f2ec76SWilliam Wang numMRead: Int = 0, 9339f2ec76SWilliam Wang numMWrite: Int = 0, 9439f2ec76SWilliam Wang numWBanks: Int = 2 9539f2ec76SWilliam Wang) extends Module { 9639f2ec76SWilliam Wang val io = IO(new Bundle { 9739f2ec76SWilliam Wang // address indexed sync read 9839f2ec76SWilliam Wang val raddr = Input(Vec(numRead, UInt(log2Up(numEntries).W))) 9939f2ec76SWilliam Wang val rdata = Output(Vec(numRead, gen)) 10039f2ec76SWilliam Wang // masked sync read (1H) 10139f2ec76SWilliam Wang val mrmask = Input(Vec(numMRead, Vec(numEntries, Bool()))) 10239f2ec76SWilliam Wang val mrdata = Output(Vec(numMRead, gen)) 10339f2ec76SWilliam Wang // address indexed write 10439f2ec76SWilliam Wang val wen = Input(Vec(numWrite, Bool())) 10539f2ec76SWilliam Wang val waddr = Input(Vec(numWrite, UInt(log2Up(numEntries).W))) 10639f2ec76SWilliam Wang val wdata = Input(Vec(numWrite, gen)) 10739f2ec76SWilliam Wang // masked write 10839f2ec76SWilliam Wang val mwmask = Input(Vec(numMWrite, Vec(numEntries, Bool()))) 10939f2ec76SWilliam Wang val mwdata = Input(Vec(numMWrite, gen)) 11039f2ec76SWilliam Wang }) 11139f2ec76SWilliam Wang 11239f2ec76SWilliam Wang require(isPow2(numWBanks)) 11339f2ec76SWilliam Wang require(numWBanks >= 2) 11439f2ec76SWilliam Wang 11539f2ec76SWilliam Wang val numEntryPerBank = numEntries / numWBanks 11639f2ec76SWilliam Wang 11739f2ec76SWilliam Wang val data = Reg(Vec(numEntries, gen)) 11839f2ec76SWilliam Wang 11939f2ec76SWilliam Wang // read ports 12039f2ec76SWilliam Wang for (i <- 0 until numRead) { 12139f2ec76SWilliam Wang val raddr_dec = RegNext(UIntToOH(io.raddr(i))) 12239f2ec76SWilliam Wang io.rdata(i) := Mux1H(raddr_dec, data) 12339f2ec76SWilliam Wang } 12439f2ec76SWilliam Wang 12539f2ec76SWilliam Wang // masked read ports 12639f2ec76SWilliam Wang for (i <- 0 until numMRead) { 12739f2ec76SWilliam Wang io.mrdata(i) := Mux1H(RegNext(io.mrmask(i)), data) 12839f2ec76SWilliam Wang } 12939f2ec76SWilliam Wang 13039f2ec76SWilliam Wang val waddr_dec = io.waddr.map(a => UIntToOH(a)) 13139f2ec76SWilliam Wang 13239f2ec76SWilliam Wang def selectBankMask(in: UInt, bank: Int): UInt = { 13339f2ec76SWilliam Wang in((bank + 1) * numEntryPerBank - 1, bank * numEntryPerBank) 13439f2ec76SWilliam Wang } 13539f2ec76SWilliam Wang 13639f2ec76SWilliam Wang for (bank <- 0 until numWBanks) { 13739f2ec76SWilliam Wang // write ports 13839f2ec76SWilliam Wang // s0: write to bank level buffer 13939f2ec76SWilliam Wang val s0_bank_waddr_dec = waddr_dec.map(a => selectBankMask(a, bank)) 14039f2ec76SWilliam Wang val s0_bank_write_en = io.wen.zip(s0_bank_waddr_dec).map(w => w._1 && w._2.orR) 14139f2ec76SWilliam Wang s0_bank_waddr_dec.zipWithIndex.map(a => 14239f2ec76SWilliam Wang a._1.suggestName("s0_bank_waddr_dec" + bank + "_" + a._2) 14339f2ec76SWilliam Wang ) 14439f2ec76SWilliam Wang s0_bank_write_en.zipWithIndex.map(a => 14539f2ec76SWilliam Wang a._1.suggestName("s0_bank_write_en" + bank + "_" + a._2) 14639f2ec76SWilliam Wang ) 14739f2ec76SWilliam Wang // s1: write data to entries 14839f2ec76SWilliam Wang val s1_bank_waddr_dec = s0_bank_waddr_dec.zip(s0_bank_write_en).map(w => RegEnable(w._1, w._2)) 14939f2ec76SWilliam Wang val s1_bank_wen = RegNext(VecInit(s0_bank_write_en)) 15039f2ec76SWilliam Wang val s1_wdata = io.wdata.zip(s0_bank_write_en).map(w => RegEnable(w._1, w._2)) 15139f2ec76SWilliam Wang s1_bank_waddr_dec.zipWithIndex.map(a => 15239f2ec76SWilliam Wang a._1.suggestName("s1_bank_waddr_dec" + bank + "_" + a._2) 15339f2ec76SWilliam Wang ) 15439f2ec76SWilliam Wang s1_bank_wen.zipWithIndex.map(a => 15539f2ec76SWilliam Wang a._1.suggestName("s1_bank_wen" + bank + "_" + a._2) 15639f2ec76SWilliam Wang ) 15739f2ec76SWilliam Wang s1_wdata.zipWithIndex.map(a => 15839f2ec76SWilliam Wang a._1.suggestName("s1_wdata" + bank + "_" + a._2) 15939f2ec76SWilliam Wang ) 16039f2ec76SWilliam Wang // masked write ports 16139f2ec76SWilliam Wang // s0: write to bank level buffer 16239f2ec76SWilliam Wang val s0_bank_mwmask = io.mwmask.map(a => selectBankMask(a.asUInt, bank)) 16339f2ec76SWilliam Wang val s0_bank_mwrite_en = s0_bank_mwmask.map(w => w.orR) 16439f2ec76SWilliam Wang s0_bank_mwmask.zipWithIndex.map(a => 16539f2ec76SWilliam Wang a._1.suggestName("s0_bank_mwmask" + bank + "_" + a._2) 16639f2ec76SWilliam Wang ) 16739f2ec76SWilliam Wang s0_bank_mwrite_en.zipWithIndex.map(a => 16839f2ec76SWilliam Wang a._1.suggestName("s0_bank_mwrite_en" + bank + "_" + a._2) 16939f2ec76SWilliam Wang ) 17039f2ec76SWilliam Wang // s1: write data to entries 17139f2ec76SWilliam Wang val s1_bank_mwmask = s0_bank_mwmask.map(a => RegNext(a)) 17239f2ec76SWilliam Wang val s1_mwdata = io.mwdata.zip(s0_bank_mwrite_en).map(w => RegEnable(w._1, w._2)) 17339f2ec76SWilliam Wang s1_bank_mwmask.zipWithIndex.map(a => 17439f2ec76SWilliam Wang a._1.suggestName("s1_bank_mwmask" + bank + "_" + a._2) 17539f2ec76SWilliam Wang ) 17639f2ec76SWilliam Wang s1_mwdata.zipWithIndex.map(a => 17739f2ec76SWilliam Wang a._1.suggestName("s1_mwdata" + bank + "_" + a._2) 17839f2ec76SWilliam Wang ) 17939f2ec76SWilliam Wang 18039f2ec76SWilliam Wang // entry write 18139f2ec76SWilliam Wang for (entry <- 0 until numEntryPerBank) { 18239f2ec76SWilliam Wang // write ports 18339f2ec76SWilliam Wang val s1_entry_write_en_vec = s1_bank_wen.zip(s1_bank_waddr_dec).map(w => w._1 && w._2(entry)) 18439f2ec76SWilliam Wang val s1_entry_write_en = VecInit(s1_entry_write_en_vec).asUInt.orR 18539f2ec76SWilliam Wang val s1_entry_write_data = Mux1H(s1_entry_write_en_vec, s1_wdata) 18639f2ec76SWilliam Wang // masked write ports 18739f2ec76SWilliam Wang val s1_bank_mwrite_en_vec = s1_bank_mwmask.map(_(entry)) 18839f2ec76SWilliam Wang val s1_bank_mwrite_en = VecInit(s1_bank_mwrite_en_vec).asUInt.orR 18939f2ec76SWilliam Wang val s1_bank_mwrite_data = Mux1H(s1_bank_mwrite_en_vec, s1_mwdata) 19039f2ec76SWilliam Wang when (s1_entry_write_en || s1_bank_mwrite_en) { 19139f2ec76SWilliam Wang data(bank * numEntryPerBank + entry) := Mux1H( 19239f2ec76SWilliam Wang Seq(s1_entry_write_en, s1_bank_mwrite_en), 19339f2ec76SWilliam Wang Seq(s1_entry_write_data, s1_bank_mwrite_data) 19439f2ec76SWilliam Wang ) 19539f2ec76SWilliam Wang } 19639f2ec76SWilliam Wang s1_entry_write_en_vec.zipWithIndex.map(a => 19739f2ec76SWilliam Wang a._1.suggestName("s1_entry_write_en_vec" + bank + "_" + entry + "_" + a._2) 19839f2ec76SWilliam Wang ) 19939f2ec76SWilliam Wang s1_bank_mwrite_en_vec.zipWithIndex.map(a => 20039f2ec76SWilliam Wang a._1.suggestName("s1_bank_mwrite_en_vec" + bank + "_" + entry + "_" + a._2) 20139f2ec76SWilliam Wang ) 20239f2ec76SWilliam Wang s1_entry_write_en.suggestName("s1_entry_write_en" + bank + "_" + entry) 20339f2ec76SWilliam Wang s1_entry_write_data.suggestName("s1_entry_write_data" + bank + "_" + entry) 20439f2ec76SWilliam Wang s1_bank_mwrite_en.suggestName("s1_bank_mwrite_en" + bank + "_" + entry) 20539f2ec76SWilliam Wang s1_bank_mwrite_data.suggestName("s1_bank_mwrite_data" + bank + "_" + entry) 20639f2ec76SWilliam Wang } 20739f2ec76SWilliam Wang } 20839f2ec76SWilliam Wang} 209