1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17c7658a75SYinan Xupackage xiangshan.mem 18c7658a75SYinan Xu 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20c7658a75SYinan Xuimport chisel3._ 21c7658a75SYinan Xuimport chisel3.util._ 223b739f49SXuan Huimport utils._ 233c02ee8fSwakafaimport utility._ 24c7658a75SYinan Xuimport xiangshan._ 25870f462dSXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuOutput} 269e12e8edScz4eimport xiangshan.backend._ 279e12e8edScz4eimport xiangshan.backend.rob.RobLsqIO 289e12e8edScz4eimport xiangshan.backend.fu.FuType 299e12e8edScz4eimport xiangshan.mem.Bundles._ 303b739f49SXuan Huimport xiangshan.cache._ 316d5ddbceSLemoverimport xiangshan.cache.{DCacheWordIO, DCacheLineIO, MemoryOpConstants} 32dc4fac13SCharlieLiuimport xiangshan.cache.{CMOReq, CMOResp} 33185e6164SHaoyuan Fengimport xiangshan.cache.mmu.{TlbRequestIO, TlbHintIO} 34c7658a75SYinan Xu 352225d46eSJiawei Linclass ExceptionAddrIO(implicit p: Parameters) extends XSBundle { 36c7658a75SYinan Xu val isStore = Input(Bool()) 37db6cfb5aSHaoyuan Feng val vaddr = Output(UInt(XLEN.W)) 3846e9ee74SHaoyuan Feng val vaNeedExt = Output(Bool()) 3946e9ee74SHaoyuan Feng val isHyper = Output(Bool()) 4055178b77Sweiding liu val vstart = Output(UInt((log2Up(VLEN) + 1).W)) 4155178b77Sweiding liu val vl = Output(UInt((log2Up(VLEN) + 1).W)) 42db6cfb5aSHaoyuan Feng val gpaddr = Output(UInt(XLEN.W)) 43ad415ae0SXiaokun-Pei val isForVSnonLeafPTE = Output(Bool()) 44c7658a75SYinan Xu} 45c7658a75SYinan Xu 462225d46eSJiawei Linclass FwdEntry extends Bundle { 473db2cf75SWilliam Wang val validFast = Bool() // validFast is generated the same cycle with query 483db2cf75SWilliam Wang val valid = Bool() // valid is generated 1 cycle after query request 493db2cf75SWilliam Wang val data = UInt(8.W) // data is generated 1 cycle after query request 50a8179b86SWilliam Wang} 51a8179b86SWilliam Wang 52c7658a75SYinan Xu// inflight miss block reqs 532225d46eSJiawei Linclass InflightBlockInfo(implicit p: Parameters) extends XSBundle { 54c7658a75SYinan Xu val block_addr = UInt(PAddrBits.W) 55c7658a75SYinan Xu val valid = Bool() 56c7658a75SYinan Xu} 57c7658a75SYinan Xu 5893eb4d85Ssfencevmaclass LsqEnqIO(implicit p: Parameters) extends MemBlockBundle { 5908fafef0SYinan Xu val canAccept = Output(Bool()) 6054dc1a5aSXuan Hu val needAlloc = Vec(LSQEnqWidth, Input(UInt(2.W))) 6154dc1a5aSXuan Hu val req = Vec(LSQEnqWidth, Flipped(ValidIO(new DynInst))) 62b4d41c12Sxiaofeibao val iqAccept = Input(Vec(LSQEnqWidth, Bool())) 6354dc1a5aSXuan Hu val resp = Vec(LSQEnqWidth, Output(new LSIdx)) 6408fafef0SYinan Xu} 65780ade3fSYinan Xu 66780ade3fSYinan Xu// Load / Store Queue Wrapper for XiangShan Out of Order LSU 67e4f69d78Ssfencevmaclass LsqWrapper(implicit p: Parameters) extends XSModule with HasDCacheParameters with HasPerfEvents { 68780ade3fSYinan Xu val io = IO(new Bundle() { 69f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 702d7c7105SYinan Xu val brqRedirect = Flipped(ValidIO(new Redirect)) 71627be78bSgood-circle val stvecFeedback = Vec(VecStorePipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO))) 72627be78bSgood-circle val ldvecFeedback = Vec(VecLoadPipelineWidth, Flipped(ValidIO(new FeedbackToLsqIO))) 73e4f69d78Ssfencevma val enq = new LsqEnqIO 74e4f69d78Ssfencevma val ldu = new Bundle() { 7514a67055Ssfencevma val stld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 7614a67055Ssfencevma val ldld_nuke_query = Vec(LoadPipelineWidth, Flipped(new LoadNukeQueryIO)) // from load_s2 7714a67055Ssfencevma val ldin = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle))) // from load_s3 78e4f69d78Ssfencevma } 79e4f69d78Ssfencevma val sta = new Bundle() { 80e4f69d78Ssfencevma val storeMaskIn = Vec(StorePipelineWidth, Flipped(Valid(new StoreMaskBundle))) // from store_s0, store mask, send to sq from rs 81e4f69d78Ssfencevma val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle))) // from store_s1 82e4f69d78Ssfencevma val storeAddrInRe = Vec(StorePipelineWidth, Input(new LsPipelineBundle())) // from store_s2 83e4f69d78Ssfencevma } 84e4f69d78Ssfencevma val std = new Bundle() { 8526af847eSgood-circle val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new MemExuOutput(isVector = true)))) // from store_s0, store data, send to sq from rs 86e4f69d78Ssfencevma } 87c61abc0cSXuan Hu val ldout = Vec(LoadPipelineWidth, DecoupledIO(new MemExuOutput)) 8814a67055Ssfencevma val ld_raw_data = Vec(LoadPipelineWidth, Output(new LoadDataFromLQBundle)) 89bb76fc1bSYanqin Li val ncOut = Vec(LoadPipelineWidth, DecoupledIO(new LsPipelineBundle)) 90e4f69d78Ssfencevma val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle)) 910d32f713Shappy-lx val sbuffer = Vec(EnsbufferWidth, Decoupled(new DCacheWordReqWithVaddrAndPfFlag)) 929ae95edaSAnzooooo val sbufferVecDifftestInfo = Vec(EnsbufferWidth, Decoupled(new DynInst)) // The vector store difftest needs is 931b7adedcSWilliam Wang val forward = Vec(LoadPipelineWidth, Flipped(new PipeLoadForwardQueryIO)) 949aca92b9SYinan Xu val rob = Flipped(new RobLsqIO) 9516ede6bbSweiding liu val nuke_rollback = Vec(StorePipelineWidth, Output(Valid(new Redirect))) 96e9e6cd09SYanqin Li val nack_rollback = Vec(1, Output(Valid(new Redirect))) // uncahce 97e4f69d78Ssfencevma val release = Flipped(Valid(new Release)) 98692e2fafSHuijin Li // val refill = Flipped(Valid(new Refill)) 999444e131Ssfencevma val tl_d_channel = Input(new DcacheToLduForwardIO) 10041d8d239Shappy-lx val maControl = Flipped(new StoreMaBufToSqControlIO) 101e4f69d78Ssfencevma val uncacheOutstanding = Input(Bool()) 1026786cfb7SWilliam Wang val uncache = new UncacheWordIO 10368d13085SXuan Hu val mmioStout = DecoupledIO(new MemExuOutput) // writeback uncached store 1043c808de0SAnzo val cboZeroStout = DecoupledIO(new MemExuOutput) 10526af847eSgood-circle // TODO: implement vector store 10626af847eSgood-circle val vecmmioStout = DecoupledIO(new MemExuOutput(isVector = true)) // vec writeback uncached store 107e4f69d78Ssfencevma val sqEmpty = Output(Bool()) 10814a67055Ssfencevma val lq_rep_full = Output(Bool()) 109edd6ddbcSwakafa val sqFull = Output(Bool()) 110edd6ddbcSwakafa val lqFull = Output(Bool()) 11110551d4eSYinan Xu val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize+1).W)) 112e4f69d78Ssfencevma val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize+1).W)) 113e4f69d78Ssfencevma val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 11446f74b57SHaojin Tang val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 115d2b20d1aSTang Haojin val lqCanAccept = Output(Bool()) 116d2b20d1aSTang Haojin val sqCanAccept = Output(Bool()) 11758dbfdf7Szhanglinjuan val lqDeqPtr = Output(new LqPtr) 11858dbfdf7Szhanglinjuan val sqDeqPtr = Output(new SqPtr) 119e4f69d78Ssfencevma val exceptionAddr = new ExceptionAddrIO 120b240e1c0SAnzooooo val loadMisalignFull = Input(Bool()) 121*522c7f99SAnzo val misalignAllowSpec = Input(Bool()) 122e4f69d78Ssfencevma val issuePtrExt = Output(new SqPtr) 12314a67055Ssfencevma val l2_hint = Input(Valid(new L2ToL1Hint())) 124185e6164SHaoyuan Feng val tlb_hint = Flipped(new TlbHintIO) 125e3ed843cShappy-lx val cmoOpReq = DecoupledIO(new CMOReq) 126e3ed843cShappy-lx val cmoOpResp = Flipped(DecoupledIO(new CMOResp)) 1273fbc86fcSChen Xi val flushSbuffer = new SbufferFlushBundle 1282fdb4d6aShappy-lx val force_write = Output(Bool()) 1290d32f713Shappy-lx val lqEmpty = Output(Bool()) 130*522c7f99SAnzo val rarValidCount = Output(UInt()) 13120a5248fSzhanglinjuan 13220a5248fSzhanglinjuan // top-down 13360ebee38STang Haojin val debugTopDown = new LoadQueueTopDownIO 134e836c770SZhaoyang You val noUopsIssued = Input(Bool()) 135c7658a75SYinan Xu }) 136c7658a75SYinan Xu 137c7658a75SYinan Xu val loadQueue = Module(new LoadQueue) 138c7658a75SYinan Xu val storeQueue = Module(new StoreQueue) 139c7658a75SYinan Xu 1405668a921SJiawei Lin storeQueue.io.hartId := io.hartId 14137225120Ssfencevma storeQueue.io.uncacheOutstanding := io.uncacheOutstanding 1425668a921SJiawei Lin 143189d8d00SAnzo if (backendParams.debugEn){ dontTouch(loadQueue.io.tlbReplayDelayCycleCtrl) } 144a760aeb0Shappy-lx 145c61abc0cSXuan Hu // Todo: imm 1468a610956Ssfencevma val tlbReplayDelayCycleCtrl = WireInit(VecInit(Seq(14.U(ReSelectLen.W), 0.U(ReSelectLen.W), 125.U(ReSelectLen.W), 0.U(ReSelectLen.W)))) 147a760aeb0Shappy-lx loadQueue.io.tlbReplayDelayCycleCtrl := tlbReplayDelayCycleCtrl 148a760aeb0Shappy-lx 14908fafef0SYinan Xu // io.enq logic 15008fafef0SYinan Xu // LSQ: send out canAccept when both load queue and store queue are ready 15108fafef0SYinan Xu // Dispatch: send instructions to LSQ only when they are ready 15208fafef0SYinan Xu io.enq.canAccept := loadQueue.io.enq.canAccept && storeQueue.io.enq.canAccept 153d2b20d1aSTang Haojin io.lqCanAccept := loadQueue.io.enq.canAccept 154d2b20d1aSTang Haojin io.sqCanAccept := storeQueue.io.enq.canAccept 15503f2ceceSYinan Xu loadQueue.io.enq.sqCanAccept := storeQueue.io.enq.canAccept 15603f2ceceSYinan Xu storeQueue.io.enq.lqCanAccept := loadQueue.io.enq.canAccept 15758dbfdf7Szhanglinjuan io.lqDeqPtr := loadQueue.io.lqDeqPtr 15858dbfdf7Szhanglinjuan io.sqDeqPtr := storeQueue.io.sqDeqPtr 159*522c7f99SAnzo io.rarValidCount := loadQueue.io.rarValidCount 1607057cff8SYinan Xu for (i <- io.enq.req.indices) { 161049559e7SYinan Xu loadQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(0) 162049559e7SYinan Xu loadQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(0) && io.enq.req(i).valid 16308fafef0SYinan Xu loadQueue.io.enq.req(i).bits := io.enq.req(i).bits 1647057cff8SYinan Xu loadQueue.io.enq.req(i).bits.sqIdx := storeQueue.io.enq.resp(i) 165780ade3fSYinan Xu 166049559e7SYinan Xu storeQueue.io.enq.needAlloc(i) := io.enq.needAlloc(i)(1) 167049559e7SYinan Xu storeQueue.io.enq.req(i).valid := io.enq.needAlloc(i)(1) && io.enq.req(i).valid 16808fafef0SYinan Xu storeQueue.io.enq.req(i).bits := io.enq.req(i).bits 1697057cff8SYinan Xu storeQueue.io.enq.req(i).bits.lqIdx := loadQueue.io.enq.resp(i) 170780ade3fSYinan Xu 17108fafef0SYinan Xu io.enq.resp(i).lqIdx := loadQueue.io.enq.resp(i) 17208fafef0SYinan Xu io.enq.resp(i).sqIdx := storeQueue.io.enq.resp(i) 17308fafef0SYinan Xu } 17408fafef0SYinan Xu 175e4f69d78Ssfencevma // store queue wiring 176e4f69d78Ssfencevma storeQueue.io.brqRedirect <> io.brqRedirect 17726af847eSgood-circle storeQueue.io.vecFeedback <> io.stvecFeedback 178e4f69d78Ssfencevma storeQueue.io.storeAddrIn <> io.sta.storeAddrIn // from store_s1 179e4f69d78Ssfencevma storeQueue.io.storeAddrInRe <> io.sta.storeAddrInRe // from store_s2 180e4f69d78Ssfencevma storeQueue.io.storeDataIn <> io.std.storeDataIn // from store_s0 181e4f69d78Ssfencevma storeQueue.io.storeMaskIn <> io.sta.storeMaskIn // from store_s0 182e4f69d78Ssfencevma storeQueue.io.sbuffer <> io.sbuffer 1839ae95edaSAnzooooo storeQueue.io.sbufferVecDifftestInfo <> io.sbufferVecDifftestInfo 184e4f69d78Ssfencevma storeQueue.io.mmioStout <> io.mmioStout 1853c808de0SAnzo storeQueue.io.cboZeroStout <> io.cboZeroStout 18626af847eSgood-circle storeQueue.io.vecmmioStout <> io.vecmmioStout 187e4f69d78Ssfencevma storeQueue.io.rob <> io.rob 188e4f69d78Ssfencevma storeQueue.io.exceptionAddr.isStore := DontCare 189e4f69d78Ssfencevma storeQueue.io.sqCancelCnt <> io.sqCancelCnt 190e4f69d78Ssfencevma storeQueue.io.sqDeq <> io.sqDeq 191e4f69d78Ssfencevma storeQueue.io.sqEmpty <> io.sqEmpty 192e4f69d78Ssfencevma storeQueue.io.sqFull <> io.sqFull 193e4f69d78Ssfencevma storeQueue.io.forward <> io.forward // overlap forwardMask & forwardData, DO NOT CHANGE SEQUENCE 1942fdb4d6aShappy-lx storeQueue.io.force_write <> io.force_write 1953fbc86fcSChen Xi storeQueue.io.cmoOpReq <> io.cmoOpReq 1963fbc86fcSChen Xi storeQueue.io.cmoOpResp <> io.cmoOpResp 1973fbc86fcSChen Xi storeQueue.io.flushSbuffer <> io.flushSbuffer 19841d8d239Shappy-lx storeQueue.io.maControl <> io.maControl 199e4f69d78Ssfencevma 200e4f69d78Ssfencevma /* <------- DANGEROUS: Don't change sequence here ! -------> */ 201e4f69d78Ssfencevma 202c7658a75SYinan Xu // load queue wiring 203e4f69d78Ssfencevma loadQueue.io.redirect <> io.brqRedirect 20426af847eSgood-circle loadQueue.io.vecFeedback <> io.ldvecFeedback 205e4f69d78Ssfencevma loadQueue.io.ldu <> io.ldu 20614a67055Ssfencevma loadQueue.io.ldout <> io.ldout 20714a67055Ssfencevma loadQueue.io.ld_raw_data <> io.ld_raw_data 208c7353d05SYanqin Li loadQueue.io.ncOut <> io.ncOut 2099aca92b9SYinan Xu loadQueue.io.rob <> io.rob 210cd2ff98bShappy-lx loadQueue.io.nuke_rollback <> io.nuke_rollback 211cd2ff98bShappy-lx loadQueue.io.nack_rollback <> io.nack_rollback 212e4f69d78Ssfencevma loadQueue.io.replay <> io.replay 213692e2fafSHuijin Li // loadQueue.io.refill <> io.refill 2149444e131Ssfencevma loadQueue.io.tl_d_channel <> io.tl_d_channel 21567682d05SWilliam Wang loadQueue.io.release <> io.release 216c7658a75SYinan Xu loadQueue.io.exceptionAddr.isStore := DontCare 217b240e1c0SAnzooooo loadQueue.io.loadMisalignFull := io.loadMisalignFull 218*522c7f99SAnzo loadQueue.io.misalignAllowSpec := io.misalignAllowSpec 21910551d4eSYinan Xu loadQueue.io.lqCancelCnt <> io.lqCancelCnt 220e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadySqPtr <> storeQueue.io.stAddrReadySqPtr 221e4f69d78Ssfencevma loadQueue.io.sq.stAddrReadyVec <> storeQueue.io.stAddrReadyVec 222e4f69d78Ssfencevma loadQueue.io.sq.stDataReadySqPtr <> storeQueue.io.stDataReadySqPtr 223e4f69d78Ssfencevma loadQueue.io.sq.stDataReadyVec <> storeQueue.io.stDataReadyVec 224e4f69d78Ssfencevma loadQueue.io.sq.stIssuePtr <> storeQueue.io.stIssuePtr 225e4f69d78Ssfencevma loadQueue.io.sq.sqEmpty <> storeQueue.io.sqEmpty 226e4f69d78Ssfencevma loadQueue.io.sta.storeAddrIn <> io.sta.storeAddrIn // store_s1 227e4f69d78Ssfencevma loadQueue.io.std.storeDataIn <> io.std.storeDataIn // store_s0 228e4f69d78Ssfencevma loadQueue.io.lqFull <> io.lqFull 22914a67055Ssfencevma loadQueue.io.lq_rep_full <> io.lq_rep_full 230e4f69d78Ssfencevma loadQueue.io.lqDeq <> io.lqDeq 23114a67055Ssfencevma loadQueue.io.l2_hint <> io.l2_hint 232185e6164SHaoyuan Feng loadQueue.io.tlb_hint <> io.tlb_hint 2330d32f713Shappy-lx loadQueue.io.lqEmpty <> io.lqEmpty 2342dcbb932SWilliam Wang 2358a33de1fSYinan Xu // rob commits for lsq is delayed for two cycles, which causes the delayed update for deqPtr in lq/sq 2368a33de1fSYinan Xu // s0: commit 2378a33de1fSYinan Xu // s1: exception find 2388a33de1fSYinan Xu // s2: exception triggered 2398a33de1fSYinan Xu // s3: ptr updated & new address 2408a33de1fSYinan Xu // address will be used at the next cycle after exception is triggered 2418a33de1fSYinan Xu io.exceptionAddr.vaddr := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vaddr, loadQueue.io.exceptionAddr.vaddr) 24246e9ee74SHaoyuan Feng io.exceptionAddr.vaNeedExt := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vaNeedExt, loadQueue.io.exceptionAddr.vaNeedExt) 24346e9ee74SHaoyuan Feng io.exceptionAddr.isHyper := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.isHyper, loadQueue.io.exceptionAddr.isHyper) 24455178b77Sweiding liu io.exceptionAddr.vstart := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vstart, loadQueue.io.exceptionAddr.vstart) 24555178b77Sweiding liu io.exceptionAddr.vl := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.vl, loadQueue.io.exceptionAddr.vl) 246d0de7e4aSpeixiaokun io.exceptionAddr.gpaddr := Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.gpaddr, loadQueue.io.exceptionAddr.gpaddr) 247ad415ae0SXiaokun-Pei io.exceptionAddr.isForVSnonLeafPTE:= Mux(RegNext(io.exceptionAddr.isStore), storeQueue.io.exceptionAddr.isForVSnonLeafPTE, loadQueue.io.exceptionAddr.isForVSnonLeafPTE) 248e4f69d78Ssfencevma io.issuePtrExt := storeQueue.io.stAddrReadySqPtr 249c7658a75SYinan Xu 250c7658a75SYinan Xu // naive uncache arbiter 251c7658a75SYinan Xu val s_idle :: s_load :: s_store :: Nil = Enum(3) 25210aac6e7SWilliam Wang val pendingstate = RegInit(s_idle) 253c7658a75SYinan Xu 25410aac6e7SWilliam Wang switch(pendingstate){ 255c7658a75SYinan Xu is(s_idle){ 256ce9ef727Ssfencevma when(io.uncache.req.fire){ 257e04c5f64SYanqin Li pendingstate := 258e04c5f64SYanqin Li Mux(io.uncacheOutstanding && io.uncache.req.bits.nc, s_idle, 259e04c5f64SYanqin Li Mux(loadQueue.io.uncache.req.valid, s_load, 260e04c5f64SYanqin Li s_store)) 261c7658a75SYinan Xu } 262c7658a75SYinan Xu } 263c7658a75SYinan Xu is(s_load){ 264935edac4STang Haojin when(io.uncache.resp.fire){ 26510aac6e7SWilliam Wang pendingstate := s_idle 266c7658a75SYinan Xu } 267c7658a75SYinan Xu } 268c7658a75SYinan Xu is(s_store){ 269935edac4STang Haojin when(io.uncache.resp.fire){ 27010aac6e7SWilliam Wang pendingstate := s_idle 271c7658a75SYinan Xu } 272c7658a75SYinan Xu } 273c7658a75SYinan Xu } 274c7658a75SYinan Xu 275c7658a75SYinan Xu loadQueue.io.uncache := DontCare 276c7658a75SYinan Xu storeQueue.io.uncache := DontCare 277935edac4STang Haojin loadQueue.io.uncache.req.ready := false.B 278935edac4STang Haojin storeQueue.io.uncache.req.ready := false.B 279c7658a75SYinan Xu loadQueue.io.uncache.resp.valid := false.B 28074050fc0SYanqin Li loadQueue.io.uncache.idResp.valid := false.B 281c7658a75SYinan Xu storeQueue.io.uncache.resp.valid := false.B 28274050fc0SYanqin Li storeQueue.io.uncache.idResp.valid := false.B 283cee1d5b2SYanqin Li when(pendingstate === s_idle){ 284c7658a75SYinan Xu when(loadQueue.io.uncache.req.valid){ 285c7658a75SYinan Xu io.uncache.req <> loadQueue.io.uncache.req 286c7658a75SYinan Xu }.otherwise{ 287c7658a75SYinan Xu io.uncache.req <> storeQueue.io.uncache.req 288c7658a75SYinan Xu } 289cee1d5b2SYanqin Li }.otherwise{ 290cee1d5b2SYanqin Li io.uncache.req.valid := false.B 291cee1d5b2SYanqin Li io.uncache.req.bits := DontCare 292cee1d5b2SYanqin Li } 293e04c5f64SYanqin Li when (io.uncache.resp.bits.is2lq) { 294c7658a75SYinan Xu io.uncache.resp <> loadQueue.io.uncache.resp 295c7658a75SYinan Xu } .otherwise { 296c7658a75SYinan Xu io.uncache.resp <> storeQueue.io.uncache.resp 297c7658a75SYinan Xu } 29874050fc0SYanqin Li when(io.uncache.idResp.bits.is2lq) { 29974050fc0SYanqin Li loadQueue.io.uncache.idResp <> io.uncache.idResp 30074050fc0SYanqin Li }.otherwise { 30174050fc0SYanqin Li storeQueue.io.uncache.idResp <> io.uncache.idResp 30274050fc0SYanqin Li } 30337225120Ssfencevma 30460ebee38STang Haojin loadQueue.io.debugTopDown <> io.debugTopDown 305e836c770SZhaoyang You loadQueue.io.noUopsIssed := io.noUopsIssued 306c7658a75SYinan Xu 307c7658a75SYinan Xu assert(!(loadQueue.io.uncache.resp.valid && storeQueue.io.uncache.resp.valid)) 30874050fc0SYanqin Li assert(!(loadQueue.io.uncache.idResp.valid && storeQueue.io.uncache.idResp.valid)) 30937225120Ssfencevma when (!io.uncacheOutstanding) { 31010aac6e7SWilliam Wang assert(!((loadQueue.io.uncache.resp.valid || storeQueue.io.uncache.resp.valid) && pendingstate === s_idle)) 31137225120Ssfencevma } 312c7658a75SYinan Xu 313cd365d4cSrvcoresjw 3141ca0e4f3SYinan Xu val perfEvents = Seq(loadQueue, storeQueue).flatMap(_.getPerfEvents) 3151ca0e4f3SYinan Xu generatePerfEvent() 316c7658a75SYinan Xu} 31710551d4eSYinan Xu 318f3a9fb05SAnzoclass LsqEnqCtrl(implicit p: Parameters) extends XSModule 319f3a9fb05SAnzo with HasVLSUParameters { 32010551d4eSYinan Xu val io = IO(new Bundle { 32110551d4eSYinan Xu val redirect = Flipped(ValidIO(new Redirect)) 32210551d4eSYinan Xu // to dispatch 32310551d4eSYinan Xu val enq = new LsqEnqIO 324e4f69d78Ssfencevma // from `memBlock.io.lqDeq 32510551d4eSYinan Xu val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 32646f74b57SHaojin Tang // from `memBlock.io.sqDeq` 32746f74b57SHaojin Tang val scommit = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 32810551d4eSYinan Xu // from/tp lsq 329e4f69d78Ssfencevma val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 33010551d4eSYinan Xu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 331f3a9fb05SAnzo val lqFreeCount = Output(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 332f3a9fb05SAnzo val sqFreeCount = Output(UInt(log2Up(StoreQueueSize + 1).W)) 33310551d4eSYinan Xu val enqLsq = Flipped(new LsqEnqIO) 33410551d4eSYinan Xu }) 33510551d4eSYinan Xu 33610551d4eSYinan Xu val lqPtr = RegInit(0.U.asTypeOf(new LqPtr)) 33710551d4eSYinan Xu val sqPtr = RegInit(0.U.asTypeOf(new SqPtr)) 338e4f69d78Ssfencevma val lqCounter = RegInit(VirtualLoadQueueSize.U(log2Up(VirtualLoadQueueSize + 1).W)) 33910551d4eSYinan Xu val sqCounter = RegInit(StoreQueueSize.U(log2Up(StoreQueueSize + 1).W)) 34010551d4eSYinan Xu val canAccept = RegInit(false.B) 34110551d4eSYinan Xu 342b4d41c12Sxiaofeibao val blockVec = io.enq.iqAccept.map(!_) :+ true.B 343b4d41c12Sxiaofeibao val numLsElem = io.enq.req.map(_.bits.numLsElem) 3440a7d1d5cSxiaofeibao val needEnqLoadQueue = VecInit(io.enq.req.map(x => x.valid && (FuType.isLoad(x.bits.fuType) || FuType.isVNonsegLoad(x.bits.fuType)))) 3450a7d1d5cSxiaofeibao val needEnqStoreQueue = VecInit(io.enq.req.map(x => x.valid && (FuType.isStore(x.bits.fuType) || FuType.isVNonsegStore(x.bits.fuType)))) 346b4d41c12Sxiaofeibao val loadQueueElem = needEnqLoadQueue.zip(numLsElem).map(x => Mux(x._1, x._2, 0.U)) 347b4d41c12Sxiaofeibao val storeQueueElem = needEnqStoreQueue.zip(numLsElem).map(x => Mux(x._1, x._2, 0.U)) 348b4d41c12Sxiaofeibao val loadFlowPopCount = 0.U +: loadQueueElem.zipWithIndex.map{ case (l, i) => 349be8e95bcSAnzo loadQueueElem.take(i + 1).reduce(_ +& _).asTypeOf(UInt(elemIdxBits.W)) 350b4d41c12Sxiaofeibao } 351b4d41c12Sxiaofeibao val storeFlowPopCount = 0.U +: storeQueueElem.zipWithIndex.map { case (s, i) => 352be8e95bcSAnzo storeQueueElem.take(i + 1).reduce(_ +& _).asTypeOf(UInt(elemIdxBits.W)) 353b4d41c12Sxiaofeibao } 354b4d41c12Sxiaofeibao val lqAllocNumber = PriorityMux(blockVec.zip(loadFlowPopCount)) 355b4d41c12Sxiaofeibao val sqAllocNumber = PriorityMux(blockVec.zip(storeFlowPopCount)) 35610551d4eSYinan Xu 357f3a9fb05SAnzo io.lqFreeCount := lqCounter 358f3a9fb05SAnzo io.sqFreeCount := sqCounter 35910551d4eSYinan Xu // How to update ptr and counter: 36010551d4eSYinan Xu // (1) by default, updated according to enq/commit 36110551d4eSYinan Xu // (2) when redirect and dispatch queue is empty, update according to lsq 36210551d4eSYinan Xu val t1_redirect = RegNext(io.redirect.valid) 36310551d4eSYinan Xu val t2_redirect = RegNext(t1_redirect) 36410551d4eSYinan Xu val t2_update = t2_redirect && !VecInit(io.enq.needAlloc.map(_.orR)).asUInt.orR 36510551d4eSYinan Xu val t3_update = RegNext(t2_update) 3665003e6f8SHuijin Li val t3_lqCancelCnt = GatedRegNext(io.lqCancelCnt) 3675003e6f8SHuijin Li val t3_sqCancelCnt = GatedRegNext(io.sqCancelCnt) 36810551d4eSYinan Xu when (t3_update) { 36910551d4eSYinan Xu lqPtr := lqPtr - t3_lqCancelCnt 37010551d4eSYinan Xu lqCounter := lqCounter + io.lcommit + t3_lqCancelCnt 37110551d4eSYinan Xu sqPtr := sqPtr - t3_sqCancelCnt 37210551d4eSYinan Xu sqCounter := sqCounter + io.scommit + t3_sqCancelCnt 37310551d4eSYinan Xu }.elsewhen (!io.redirect.valid && io.enq.canAccept) { 3743ea094fbSzhanglinjuan lqPtr := lqPtr + lqAllocNumber 3753ea094fbSzhanglinjuan lqCounter := lqCounter + io.lcommit - lqAllocNumber 3763ea094fbSzhanglinjuan sqPtr := sqPtr + sqAllocNumber 3773ea094fbSzhanglinjuan sqCounter := sqCounter + io.scommit - sqAllocNumber 37810551d4eSYinan Xu }.otherwise { 37910551d4eSYinan Xu lqCounter := lqCounter + io.lcommit 38010551d4eSYinan Xu sqCounter := sqCounter + io.scommit 38110551d4eSYinan Xu } 38210551d4eSYinan Xu 38310551d4eSYinan Xu 3849398e65aSAnzooooo //TODO MaxAllocate and width of lqOffset/sqOffset needs to be discussed 385d97a1af7SXuan Hu val lqMaxAllocate = LSQLdEnqWidth 386d97a1af7SXuan Hu val sqMaxAllocate = LSQStEnqWidth 387d97a1af7SXuan Hu val maxAllocate = lqMaxAllocate max sqMaxAllocate 388d97a1af7SXuan Hu val ldCanAccept = lqCounter >= lqAllocNumber +& lqMaxAllocate.U 389d97a1af7SXuan Hu val sqCanAccept = sqCounter >= sqAllocNumber +& sqMaxAllocate.U 39010551d4eSYinan Xu // It is possible that t3_update and enq are true at the same clock cycle. 39110551d4eSYinan Xu // For example, if redirect.valid lasts more than one clock cycle, 392f3a9fb05SAnzo // after the last redirect, new instructions may enter but previously redirect has not been resolved (updated according to the cancel count from LSQ). 39310551d4eSYinan Xu // To solve the issue easily, we block enqueue when t3_update, which is RegNext(t2_update). 39410551d4eSYinan Xu io.enq.canAccept := RegNext(ldCanAccept && sqCanAccept && !t2_update) 3959398e65aSAnzooooo val lqOffset = Wire(Vec(io.enq.resp.length, UInt(lqPtr.value.getWidth.W))) 3969398e65aSAnzooooo val sqOffset = Wire(Vec(io.enq.resp.length, UInt(sqPtr.value.getWidth.W))) 39710551d4eSYinan Xu for ((resp, i) <- io.enq.resp.zipWithIndex) { 398b4d41c12Sxiaofeibao lqOffset(i) := loadFlowPopCount(i) 39910551d4eSYinan Xu resp.lqIdx := lqPtr + lqOffset(i) 400b4d41c12Sxiaofeibao sqOffset(i) := storeFlowPopCount(i) 40110551d4eSYinan Xu resp.sqIdx := sqPtr + sqOffset(i) 40210551d4eSYinan Xu } 40310551d4eSYinan Xu 404f3a9fb05SAnzo io.enqLsq.needAlloc := RegNext(io.enq.needAlloc) 405b4d41c12Sxiaofeibao io.enqLsq.iqAccept := RegNext(io.enq.iqAccept) 40610551d4eSYinan Xu io.enqLsq.req.zip(io.enq.req).zip(io.enq.resp).foreach{ case ((toLsq, enq), resp) => 407f3a9fb05SAnzo val do_enq = enq.valid && !io.redirect.valid && io.enq.canAccept 40810551d4eSYinan Xu toLsq.valid := RegNext(do_enq) 40910551d4eSYinan Xu toLsq.bits := RegEnable(enq.bits, do_enq) 41010551d4eSYinan Xu toLsq.bits.lqIdx := RegEnable(resp.lqIdx, do_enq) 41110551d4eSYinan Xu toLsq.bits.sqIdx := RegEnable(resp.sqIdx, do_enq) 41210551d4eSYinan Xu } 41310551d4eSYinan Xu 41410551d4eSYinan Xu} 415