xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueue.scala (revision 39268f44ebf8a8acf06f33e688f2893b35710d23)
1package xiangshan.mem
2
3import chisel3._
4import chisel3.util._
5import freechips.rocketchip.tile.HasFPUParameters
6import utils._
7import xiangshan._
8import xiangshan.cache._
9import xiangshan.cache.{DCacheLineIO, DCacheWordIO, MemoryOpConstants, TlbRequestIO}
10import xiangshan.backend.LSUOpType
11import xiangshan.mem._
12import xiangshan.backend.roq.RoqPtr
13import xiangshan.backend.fu.HasExceptionNO
14
15
16class LqPtr extends CircularQueuePtr(LqPtr.LoadQueueSize) { }
17
18object LqPtr extends HasXSParameter {
19  def apply(f: Bool, v: UInt): LqPtr = {
20    val ptr = Wire(new LqPtr)
21    ptr.flag := f
22    ptr.value := v
23    ptr
24  }
25}
26
27trait HasLoadHelper { this: XSModule =>
28  def rdataHelper(uop: MicroOp, rdata: UInt): UInt = {
29    val fpWen = uop.ctrl.fpWen
30    LookupTree(uop.ctrl.fuOpType, List(
31      LSUOpType.lb   -> SignExt(rdata(7, 0) , XLEN),
32      LSUOpType.lh   -> SignExt(rdata(15, 0), XLEN),
33      LSUOpType.lw   -> Mux(fpWen, rdata, SignExt(rdata(31, 0), XLEN)),
34      LSUOpType.ld   -> Mux(fpWen, rdata, SignExt(rdata(63, 0), XLEN)),
35      LSUOpType.lbu  -> ZeroExt(rdata(7, 0) , XLEN),
36      LSUOpType.lhu  -> ZeroExt(rdata(15, 0), XLEN),
37      LSUOpType.lwu  -> ZeroExt(rdata(31, 0), XLEN),
38    ))
39  }
40
41  def fpRdataHelper(uop: MicroOp, rdata: UInt): UInt = {
42    LookupTree(uop.ctrl.fuOpType, List(
43      LSUOpType.lw   -> recode(rdata(31, 0), S),
44      LSUOpType.ld   -> recode(rdata(63, 0), D)
45    ))
46  }
47}
48
49class LqEnqIO extends XSBundle {
50  val canAccept = Output(Bool())
51  val sqCanAccept = Input(Bool())
52  val needAlloc = Vec(RenameWidth, Input(Bool()))
53  val req = Vec(RenameWidth, Flipped(ValidIO(new MicroOp)))
54  val resp = Vec(RenameWidth, Output(new LqPtr))
55}
56
57// Load Queue
58class LoadQueue extends XSModule
59  with HasDCacheParameters
60  with HasCircularQueuePtrHelper
61  with HasLoadHelper
62  with HasExceptionNO
63{
64  val io = IO(new Bundle() {
65    val enq = new LqEnqIO
66    val brqRedirect = Input(Valid(new Redirect))
67    val loadIn = Vec(LoadPipelineWidth, Flipped(Valid(new LsPipelineBundle)))
68    val storeIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
69    val loadDataForwarded = Vec(LoadPipelineWidth, Input(Bool()))
70    val ldout = Vec(2, DecoupledIO(new ExuOutput)) // writeback int load
71    val load_s1 = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO))
72    val commits = Flipped(new RoqCommitIO)
73    val rollback = Output(Valid(new Redirect)) // replay now starts from load instead of store
74    val dcache = Flipped(ValidIO(new Refill))
75    val uncache = new DCacheWordIO
76    val roqDeqPtr = Input(new RoqPtr)
77    val exceptionAddr = new ExceptionAddrIO
78  })
79
80  val uop = Reg(Vec(LoadQueueSize, new MicroOp))
81  // val data = Reg(Vec(LoadQueueSize, new LsRoqEntry))
82  val dataModule = Module(new LoadQueueData(LoadQueueSize, wbNumRead = LoadPipelineWidth, wbNumWrite = LoadPipelineWidth))
83  dataModule.io := DontCare
84  val vaddrModule = Module(new AsyncDataModuleTemplate(UInt(VAddrBits.W), LoadQueueSize, numRead = 1, numWrite = LoadPipelineWidth))
85  vaddrModule.io := DontCare
86  val allocated = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // lq entry has been allocated
87  val datavalid = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // data is valid
88  val writebacked = RegInit(VecInit(List.fill(LoadQueueSize)(false.B))) // inst has been writebacked to CDB
89  val miss = Reg(Vec(LoadQueueSize, Bool())) // load inst missed, waiting for miss queue to accept miss request
90  // val listening = Reg(Vec(LoadQueueSize, Bool())) // waiting for refill result
91  val pending = Reg(Vec(LoadQueueSize, Bool())) // mmio pending: inst is an mmio inst, it will not be executed until it reachs the end of roq
92
93  val debug_mmio = Reg(Vec(LoadQueueSize, Bool())) // mmio: inst is an mmio inst
94
95  val enqPtrExt = RegInit(VecInit((0 until RenameWidth).map(_.U.asTypeOf(new LqPtr))))
96  val deqPtrExt = RegInit(0.U.asTypeOf(new LqPtr))
97  val deqPtrExtNext = Wire(new LqPtr)
98  val allowEnqueue = RegInit(true.B)
99
100  val enqPtr = enqPtrExt(0).value
101  val deqPtr = deqPtrExt.value
102  val sameFlag = enqPtrExt(0).flag === deqPtrExt.flag
103  val isEmpty = enqPtr === deqPtr && sameFlag
104  val isFull = enqPtr === deqPtr && !sameFlag
105  val allowIn = !isFull
106
107  val loadCommit = (0 until CommitWidth).map(i => io.commits.valid(i) && !io.commits.isWalk && io.commits.info(i).commitType === CommitType.LOAD)
108  val mcommitIdx = (0 until CommitWidth).map(i => io.commits.info(i).lqIdx.value)
109
110  val deqMask = UIntToMask(deqPtr, LoadQueueSize)
111  val enqMask = UIntToMask(enqPtr, LoadQueueSize)
112
113  /**
114    * Enqueue at dispatch
115    *
116    * Currently, LoadQueue only allows enqueue when #emptyEntries > RenameWidth(EnqWidth)
117    */
118  io.enq.canAccept := allowEnqueue
119
120  for (i <- 0 until RenameWidth) {
121    val offset = if (i == 0) 0.U else PopCount(io.enq.needAlloc.take(i))
122    val lqIdx = enqPtrExt(offset)
123    val index = lqIdx.value
124    when (io.enq.req(i).valid && io.enq.canAccept && io.enq.sqCanAccept && !io.brqRedirect.valid) {
125      uop(index) := io.enq.req(i).bits
126      allocated(index) := true.B
127      datavalid(index) := false.B
128      writebacked(index) := false.B
129      miss(index) := false.B
130      // listening(index) := false.B
131      pending(index) := false.B
132    }
133    io.enq.resp(i) := lqIdx
134  }
135  XSDebug(p"(ready, valid): ${io.enq.canAccept}, ${Binary(Cat(io.enq.req.map(_.valid)))}\n")
136
137  /**
138    * Writeback load from load units
139    *
140    * Most load instructions writeback to regfile at the same time.
141    * However,
142    *   (1) For an mmio instruction with exceptions, it writes back to ROB immediately.
143    *   (2) For an mmio instruction without exceptions, it does not write back.
144    * The mmio instruction will be sent to lower level when it reaches ROB's head.
145    * After uncache response, it will write back through arbiter with loadUnit.
146    *   (3) For cache misses, it is marked miss and sent to dcache later.
147    * After cache refills, it will write back through arbiter with loadUnit.
148    */
149  for (i <- 0 until LoadPipelineWidth) {
150    dataModule.io.wb.wen(i) := false.B
151    vaddrModule.io.wen(i) := false.B
152    when(io.loadIn(i).fire()) {
153      when(io.loadIn(i).bits.miss) {
154        XSInfo(io.loadIn(i).valid, "load miss write to lq idx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n",
155          io.loadIn(i).bits.uop.lqIdx.asUInt,
156          io.loadIn(i).bits.uop.cf.pc,
157          io.loadIn(i).bits.vaddr,
158          io.loadIn(i).bits.paddr,
159          io.loadIn(i).bits.data,
160          io.loadIn(i).bits.mask,
161          io.loadIn(i).bits.forwardData.asUInt,
162          io.loadIn(i).bits.forwardMask.asUInt,
163          io.loadIn(i).bits.mmio
164        )
165      }.otherwise {
166        XSInfo(io.loadIn(i).valid, "load hit write to cbd lqidx %d pc 0x%x vaddr %x paddr %x data %x mask %x forwardData %x forwardMask: %x mmio %x\n",
167        io.loadIn(i).bits.uop.lqIdx.asUInt,
168        io.loadIn(i).bits.uop.cf.pc,
169        io.loadIn(i).bits.vaddr,
170        io.loadIn(i).bits.paddr,
171        io.loadIn(i).bits.data,
172        io.loadIn(i).bits.mask,
173        io.loadIn(i).bits.forwardData.asUInt,
174        io.loadIn(i).bits.forwardMask.asUInt,
175        io.loadIn(i).bits.mmio
176      )}
177      val loadWbIndex = io.loadIn(i).bits.uop.lqIdx.value
178      datavalid(loadWbIndex) := (!io.loadIn(i).bits.miss || io.loadDataForwarded(i)) && !io.loadIn(i).bits.mmio
179      writebacked(loadWbIndex) := !io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
180
181      val loadWbData = Wire(new LQDataEntry)
182      loadWbData.paddr := io.loadIn(i).bits.paddr
183      loadWbData.mask := io.loadIn(i).bits.mask
184      loadWbData.data := io.loadIn(i).bits.forwardData.asUInt // fwd data
185      loadWbData.fwdMask := io.loadIn(i).bits.forwardMask
186      dataModule.io.wbWrite(i, loadWbIndex, loadWbData)
187      dataModule.io.wb.wen(i) := true.B
188
189      vaddrModule.io.waddr(i) := loadWbIndex
190      vaddrModule.io.wdata(i) := io.loadIn(i).bits.vaddr
191      vaddrModule.io.wen(i) := true.B
192
193      debug_mmio(loadWbIndex) := io.loadIn(i).bits.mmio
194
195      val dcacheMissed = io.loadIn(i).bits.miss && !io.loadIn(i).bits.mmio
196      miss(loadWbIndex) := dcacheMissed && !io.loadDataForwarded(i)
197      pending(loadWbIndex) := io.loadIn(i).bits.mmio
198      uop(loadWbIndex).debugInfo.issueTime := io.loadIn(i).bits.uop.debugInfo.issueTime
199    }
200  }
201
202  when(io.dcache.valid) {
203    XSDebug("miss resp: paddr:0x%x data %x\n", io.dcache.bits.addr, io.dcache.bits.data)
204  }
205
206  // Refill 64 bit in a cycle
207  // Refill data comes back from io.dcache.resp
208  dataModule.io.refill.valid := io.dcache.valid
209  dataModule.io.refill.paddr := io.dcache.bits.addr
210  dataModule.io.refill.data := io.dcache.bits.data
211
212  (0 until LoadQueueSize).map(i => {
213    dataModule.io.refill.refillMask(i) := allocated(i) && miss(i)
214    when(dataModule.io.refill.valid && dataModule.io.refill.refillMask(i) && dataModule.io.refill.matchMask(i)) {
215      datavalid(i) := true.B
216      miss(i) := false.B
217    }
218  })
219
220  // Writeback up to 2 missed load insts to CDB
221  //
222  // Pick 2 missed load (data refilled), write them back to cdb
223  // 2 refilled load will be selected from even/odd entry, separately
224
225  // Stage 0
226  // Generate writeback indexes
227
228  def getEvenBits(input: UInt): UInt = {
229    require(input.getWidth == LoadQueueSize)
230    VecInit((0 until LoadQueueSize/2).map(i => {input(2*i)})).asUInt
231  }
232  def getOddBits(input: UInt): UInt = {
233    require(input.getWidth == LoadQueueSize)
234    VecInit((0 until LoadQueueSize/2).map(i => {input(2*i+1)})).asUInt
235  }
236
237  val loadWbSel = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W))) // index selected last cycle
238  val loadWbSelV = Wire(Vec(LoadPipelineWidth, Bool())) // index selected in last cycle is valid
239
240  val loadWbSelVec = VecInit((0 until LoadQueueSize).map(i => {
241    allocated(i) && !writebacked(i) && datavalid(i)
242  })).asUInt() // use uint instead vec to reduce verilog lines
243  val evenDeqMask = getEvenBits(deqMask)
244  val oddDeqMask = getOddBits(deqMask)
245  // generate lastCycleSelect mask
246  val evenSelectMask = Mux(io.ldout(0).fire(), getEvenBits(UIntToOH(loadWbSel(0))), 0.U)
247  val oddSelectMask = Mux(io.ldout(1).fire(), getOddBits(UIntToOH(loadWbSel(1))), 0.U)
248  // generate real select vec
249  val loadEvenSelVec = getEvenBits(loadWbSelVec) & ~evenSelectMask
250  val loadOddSelVec = getOddBits(loadWbSelVec) & ~oddSelectMask
251
252  def toVec(a: UInt): Vec[Bool] = {
253    VecInit(a.asBools)
254  }
255
256  val loadWbSelGen = Wire(Vec(LoadPipelineWidth, UInt(log2Up(LoadQueueSize).W)))
257  val loadWbSelVGen = Wire(Vec(LoadPipelineWidth, Bool()))
258  loadWbSelGen(0) := Cat(getFirstOne(toVec(loadEvenSelVec), evenDeqMask), 0.U(1.W))
259  loadWbSelVGen(0):= loadEvenSelVec.asUInt.orR
260  loadWbSelGen(1) := Cat(getFirstOne(toVec(loadOddSelVec), oddDeqMask), 1.U(1.W))
261  loadWbSelVGen(1) := loadOddSelVec.asUInt.orR
262
263  (0 until LoadPipelineWidth).map(i => {
264    loadWbSel(i) := RegNext(loadWbSelGen(i))
265    loadWbSelV(i) := RegNext(loadWbSelVGen(i), init = false.B)
266    when(io.ldout(i).fire()){
267      // Mark them as writebacked, so they will not be selected in the next cycle
268      writebacked(loadWbSel(i)) := true.B
269    }
270  })
271
272  // Stage 1
273  // Use indexes generated in cycle 0 to read data
274  // writeback data to cdb
275  (0 until LoadPipelineWidth).map(i => {
276    // data select
277    dataModule.io.wb.raddr(i) := loadWbSelGen(i)
278    val rdata = dataModule.io.wb.rdata(i).data
279    val seluop = uop(loadWbSel(i))
280    val func = seluop.ctrl.fuOpType
281    val raddr = dataModule.io.wb.rdata(i).paddr
282    val rdataSel = LookupTree(raddr(2, 0), List(
283      "b000".U -> rdata(63, 0),
284      "b001".U -> rdata(63, 8),
285      "b010".U -> rdata(63, 16),
286      "b011".U -> rdata(63, 24),
287      "b100".U -> rdata(63, 32),
288      "b101".U -> rdata(63, 40),
289      "b110".U -> rdata(63, 48),
290      "b111".U -> rdata(63, 56)
291    ))
292    val rdataPartialLoad = rdataHelper(seluop, rdataSel)
293
294    // writeback missed int/fp load
295    //
296    // Int load writeback will finish (if not blocked) in one cycle
297    io.ldout(i).bits.uop := seluop
298    io.ldout(i).bits.uop.lqIdx := loadWbSel(i).asTypeOf(new LqPtr)
299    io.ldout(i).bits.data := rdataPartialLoad
300    io.ldout(i).bits.redirectValid := false.B
301    io.ldout(i).bits.redirect := DontCare
302    io.ldout(i).bits.brUpdate := DontCare
303    io.ldout(i).bits.debug.isMMIO := debug_mmio(loadWbSel(i))
304    io.ldout(i).bits.debug.isPerfCnt := false.B
305    io.ldout(i).bits.fflags := DontCare
306    io.ldout(i).valid := loadWbSelV(i)
307
308    when(io.ldout(i).fire()) {
309      XSInfo("int load miss write to cbd roqidx %d lqidx %d pc 0x%x mmio %x\n",
310        io.ldout(i).bits.uop.roqIdx.asUInt,
311        io.ldout(i).bits.uop.lqIdx.asUInt,
312        io.ldout(i).bits.uop.cf.pc,
313        debug_mmio(loadWbSel(i))
314      )
315    }
316
317  })
318
319  /**
320    * Load commits
321    *
322    * When load commited, mark it as !allocated and move deqPtrExt forward.
323    */
324  (0 until CommitWidth).map(i => {
325    when(loadCommit(i)) {
326      allocated(mcommitIdx(i)) := false.B
327      XSDebug("load commit %d: idx %d %x\n", i.U, mcommitIdx(i), uop(mcommitIdx(i)).cf.pc)
328    }
329  })
330
331  def getFirstOne(mask: Vec[Bool], startMask: UInt) = {
332    val length = mask.length
333    val highBits = (0 until length).map(i => mask(i) & ~startMask(i))
334    val highBitsUint = Cat(highBits.reverse)
335    PriorityEncoder(Mux(highBitsUint.orR(), highBitsUint, mask.asUInt))
336  }
337
338  def getOldestInTwo(valid: Seq[Bool], uop: Seq[MicroOp]) = {
339    assert(valid.length == uop.length)
340    assert(valid.length == 2)
341    Mux(valid(0) && valid(1),
342      Mux(isAfter(uop(0).roqIdx, uop(1).roqIdx), uop(1), uop(0)),
343      Mux(valid(0) && !valid(1), uop(0), uop(1)))
344  }
345
346  def getAfterMask(valid: Seq[Bool], uop: Seq[MicroOp]) = {
347    assert(valid.length == uop.length)
348    val length = valid.length
349    (0 until length).map(i => {
350      (0 until length).map(j => {
351        Mux(valid(i) && valid(j),
352          isAfter(uop(i).roqIdx, uop(j).roqIdx),
353          Mux(!valid(i), true.B, false.B))
354      })
355    })
356  }
357
358  /**
359    * Memory violation detection
360    *
361    * When store writes back, it searches LoadQueue for younger load instructions
362    * with the same load physical address. They loaded wrong data and need re-execution.
363    *
364    * Cycle 0: Store Writeback
365    *   Generate match vector for store address with rangeMask(stPtr, enqPtr).
366    *   Besides, load instructions in LoadUnit_S1 and S2 are also checked.
367    * Cycle 1: Redirect Generation
368    *   There're three possible types of violations. Choose the oldest load.
369    *   Prepare redirect request according to the detected violation.
370    * Cycle 2: Redirect Fire
371    *   Fire redirect request (if valid)
372    */
373  io.load_s1 := DontCare
374  def detectRollback(i: Int) = {
375    val startIndex = io.storeIn(i).bits.uop.lqIdx.value
376    val lqIdxMask = UIntToMask(startIndex, LoadQueueSize)
377    val xorMask = lqIdxMask ^ enqMask
378    val sameFlag = io.storeIn(i).bits.uop.lqIdx.flag === enqPtrExt(0).flag
379    val toEnqPtrMask = Mux(sameFlag, xorMask, ~xorMask)
380
381    // check if load already in lq needs to be rolledback
382    dataModule.io.violation(i).paddr := io.storeIn(i).bits.paddr
383    dataModule.io.violation(i).mask := io.storeIn(i).bits.mask
384    val addrMaskMatch = RegNext(dataModule.io.violation(i).violationMask)
385    val entryNeedCheck = RegNext(VecInit((0 until LoadQueueSize).map(j => {
386      allocated(j) && toEnqPtrMask(j) && (datavalid(j) || miss(j))
387    })))
388    val lqViolationVec = VecInit((0 until LoadQueueSize).map(j => {
389      addrMaskMatch(j) && entryNeedCheck(j)
390    }))
391    val lqViolation = lqViolationVec.asUInt().orR()
392    val lqViolationIndex = getFirstOne(lqViolationVec, RegNext(lqIdxMask))
393    val lqViolationUop = uop(lqViolationIndex)
394    // lqViolationUop.lqIdx.flag := deqMask(lqViolationIndex) ^ deqPtrExt.flag
395    // lqViolationUop.lqIdx.value := lqViolationIndex
396    XSDebug(lqViolation, p"${Binary(Cat(lqViolationVec))}, $startIndex, $lqViolationIndex\n")
397
398    // when l/s writeback to roq together, check if rollback is needed
399    val wbViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => {
400      io.loadIn(j).valid &&
401        isAfter(io.loadIn(j).bits.uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) &&
402        io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.loadIn(j).bits.paddr(PAddrBits - 1, 3) &&
403        (io.storeIn(i).bits.mask & io.loadIn(j).bits.mask).orR
404    })))
405    val wbViolation = wbViolationVec.asUInt().orR()
406    val wbViolationUop = getOldestInTwo(wbViolationVec, RegNext(VecInit(io.loadIn.map(_.bits.uop))))
407    XSDebug(wbViolation, p"${Binary(Cat(wbViolationVec))}, $wbViolationUop\n")
408
409    // check if rollback is needed for load in l1
410    val l1ViolationVec = RegNext(VecInit((0 until LoadPipelineWidth).map(j => {
411      io.load_s1(j).valid && // L1 valid
412        isAfter(io.load_s1(j).uop.roqIdx, io.storeIn(i).bits.uop.roqIdx) &&
413        io.storeIn(i).bits.paddr(PAddrBits - 1, 3) === io.load_s1(j).paddr(PAddrBits - 1, 3) &&
414        (io.storeIn(i).bits.mask & io.load_s1(j).mask).orR
415    })))
416    val l1Violation = l1ViolationVec.asUInt().orR()
417    val l1ViolationUop = getOldestInTwo(l1ViolationVec, RegNext(VecInit(io.load_s1.map(_.uop))))
418    XSDebug(l1Violation, p"${Binary(Cat(l1ViolationVec))}, $l1ViolationUop\n")
419
420    val rollbackValidVec = Seq(lqViolation, wbViolation, l1Violation)
421    val rollbackUopVec = Seq(lqViolationUop, wbViolationUop, l1ViolationUop)
422
423    val mask = getAfterMask(rollbackValidVec, rollbackUopVec)
424    val oneAfterZero = mask(1)(0)
425    val rollbackUop = Mux(oneAfterZero && mask(2)(0),
426      rollbackUopVec(0),
427      Mux(!oneAfterZero && mask(2)(1), rollbackUopVec(1), rollbackUopVec(2)))
428
429    XSDebug(
430      l1Violation,
431      "need rollback (l4 load) pc %x roqidx %d target %x\n",
432      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, l1ViolationUop.roqIdx.asUInt
433    )
434    XSDebug(
435      lqViolation,
436      "need rollback (ld wb before store) pc %x roqidx %d target %x\n",
437      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, lqViolationUop.roqIdx.asUInt
438    )
439    XSDebug(
440      wbViolation,
441      "need rollback (ld/st wb together) pc %x roqidx %d target %x\n",
442      io.storeIn(i).bits.uop.cf.pc, io.storeIn(i).bits.uop.roqIdx.asUInt, wbViolationUop.roqIdx.asUInt
443    )
444
445    (RegNext(io.storeIn(i).valid) && Cat(rollbackValidVec).orR, rollbackUop)
446  }
447
448  // rollback check
449  val rollback = Wire(Vec(StorePipelineWidth, Valid(new MicroOp)))
450  for (i <- 0 until StorePipelineWidth) {
451    val detectedRollback = detectRollback(i)
452    rollback(i).valid := detectedRollback._1
453    rollback(i).bits := detectedRollback._2
454  }
455
456  def rollbackSel(a: Valid[MicroOp], b: Valid[MicroOp]): ValidIO[MicroOp] = {
457    Mux(
458      a.valid,
459      Mux(
460        b.valid,
461        Mux(isAfter(a.bits.roqIdx, b.bits.roqIdx), b, a), // a,b both valid, sel oldest
462        a // sel a
463      ),
464      b // sel b
465    )
466  }
467
468  val rollbackSelected = ParallelOperation(rollback, rollbackSel)
469  val lastCycleRedirect = RegNext(io.brqRedirect)
470
471  // S2: select rollback and generate rollback request
472  // Note that we use roqIdx - 1.U to flush the load instruction itself.
473  // Thus, here if last cycle's roqIdx equals to this cycle's roqIdx, it still triggers the redirect.
474  val rollbackGen = Wire(Valid(new Redirect))
475  val rollbackReg = Reg(Valid(new Redirect))
476  rollbackGen.valid := rollbackSelected.valid &&
477    (!lastCycleRedirect.valid || !isAfter(rollbackSelected.bits.roqIdx, lastCycleRedirect.bits.roqIdx)) &&
478    !(lastCycleRedirect.valid && lastCycleRedirect.bits.isUnconditional())
479
480  rollbackGen.bits.roqIdx := rollbackSelected.bits.roqIdx
481  rollbackGen.bits.level := RedirectLevel.flush
482  rollbackGen.bits.interrupt := DontCare
483  rollbackGen.bits.pc := DontCare
484  rollbackGen.bits.target := rollbackSelected.bits.cf.pc
485  rollbackGen.bits.brTag := rollbackSelected.bits.brTag
486
487  rollbackReg := rollbackGen
488
489  // S3: fire rollback request
490  io.rollback := rollbackReg
491  io.rollback.valid := rollbackReg.valid &&
492    (!lastCycleRedirect.valid || !isAfter(rollbackReg.bits.roqIdx, lastCycleRedirect.bits.roqIdx)) &&
493    !(lastCycleRedirect.valid && lastCycleRedirect.bits.isUnconditional())
494
495  when(io.rollback.valid) {
496    XSDebug("Mem rollback: pc %x roqidx %d\n", io.rollback.bits.pc, io.rollback.bits.roqIdx.asUInt)
497  }
498
499  /**
500    * Memory mapped IO / other uncached operations
501    *
502    */
503  io.uncache.req.valid := pending(deqPtr) && allocated(deqPtr) &&
504    io.commits.info(0).commitType === CommitType.LOAD &&
505    io.roqDeqPtr === uop(deqPtr).roqIdx &&
506    !io.commits.isWalk
507
508  dataModule.io.uncache.raddr := deqPtrExtNext.value
509
510  io.uncache.req.bits.cmd  := MemoryOpConstants.M_XRD
511  io.uncache.req.bits.addr := dataModule.io.uncache.rdata.paddr
512  io.uncache.req.bits.data := dataModule.io.uncache.rdata.data
513  io.uncache.req.bits.mask := dataModule.io.uncache.rdata.mask
514
515  io.uncache.req.bits.id   := DontCare
516
517  io.uncache.resp.ready := true.B
518
519  when (io.uncache.req.fire()) {
520    pending(deqPtr) := false.B
521
522    XSDebug("uncache req: pc %x addr %x data %x op %x mask %x\n",
523      uop(deqPtr).cf.pc,
524      io.uncache.req.bits.addr,
525      io.uncache.req.bits.data,
526      io.uncache.req.bits.cmd,
527      io.uncache.req.bits.mask
528    )
529  }
530
531  dataModule.io.uncache.wen := false.B
532  when(io.uncache.resp.fire()){
533    datavalid(deqPtr) := true.B
534    dataModule.io.uncacheWrite(deqPtr, io.uncache.resp.bits.data(XLEN-1, 0))
535    dataModule.io.uncache.wen := true.B
536
537    XSDebug("uncache resp: data %x\n", io.dcache.bits.data)
538  }
539
540  // Read vaddr for mem exception
541  vaddrModule.io.raddr(0) := io.exceptionAddr.lsIdx.lqIdx.value
542  io.exceptionAddr.vaddr := vaddrModule.io.rdata(0)
543
544  // misprediction recovery / exception redirect
545  // invalidate lq term using robIdx
546  val needCancel = Wire(Vec(LoadQueueSize, Bool()))
547  for (i <- 0 until LoadQueueSize) {
548    needCancel(i) := uop(i).roqIdx.needFlush(io.brqRedirect) && allocated(i)
549    when (needCancel(i)) {
550        allocated(i) := false.B
551    }
552  }
553
554  /**
555    * update pointers
556    */
557  val lastCycleCancelCount = PopCount(RegNext(needCancel))
558  // when io.brqRedirect.valid, we don't allow eneuque even though it may fire.
559  val enqNumber = Mux(io.enq.canAccept && io.enq.sqCanAccept && !io.brqRedirect.valid, PopCount(io.enq.req.map(_.valid)), 0.U)
560  when (lastCycleRedirect.valid) {
561    // we recover the pointers in the next cycle after redirect
562    enqPtrExt := VecInit(enqPtrExt.map(_ - lastCycleCancelCount))
563  }.otherwise {
564    enqPtrExt := VecInit(enqPtrExt.map(_ + enqNumber))
565  }
566
567  val commitCount = PopCount(loadCommit)
568  deqPtrExtNext := deqPtrExt + commitCount
569  deqPtrExt := deqPtrExtNext
570
571  val lastLastCycleRedirect = RegNext(lastCycleRedirect.valid)
572  val validCount = distanceBetween(enqPtrExt(0), deqPtrExt)
573
574  allowEnqueue := validCount + enqNumber <= (LoadQueueSize - RenameWidth).U
575
576  // debug info
577  XSDebug("enqPtrExt %d:%d deqPtrExt %d:%d\n", enqPtrExt(0).flag, enqPtr, deqPtrExt.flag, deqPtr)
578
579  def PrintFlag(flag: Bool, name: String): Unit = {
580    when(flag) {
581      XSDebug(false, true.B, name)
582    }.otherwise {
583      XSDebug(false, true.B, " ")
584    }
585  }
586
587  for (i <- 0 until LoadQueueSize) {
588    if (i % 4 == 0) XSDebug("")
589    XSDebug(false, true.B, "%x [%x] ", uop(i).cf.pc, dataModule.io.debug(i).paddr)
590    PrintFlag(allocated(i), "a")
591    PrintFlag(allocated(i) && datavalid(i), "v")
592    PrintFlag(allocated(i) && writebacked(i), "w")
593    PrintFlag(allocated(i) && miss(i), "m")
594    // PrintFlag(allocated(i) && listening(i), "l")
595    PrintFlag(allocated(i) && pending(i), "p")
596    XSDebug(false, true.B, " ")
597    if (i % 4 == 3 || i == LoadQueueSize - 1) XSDebug(false, true.B, "\n")
598  }
599
600}
601