1ad3ba452Szhanglinjuan/*************************************************************************************** 2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC) 3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences 4ad3ba452Szhanglinjuan* Copyright (c) 2020-2021 Peng Cheng Laboratory 5ad3ba452Szhanglinjuan* 6ad3ba452Szhanglinjuan* XiangShan is licensed under Mulan PSL v2. 7ad3ba452Szhanglinjuan* You can use this software according to the terms and conditions of the Mulan PSL v2. 8ad3ba452Szhanglinjuan* You may obtain a copy of Mulan PSL v2 at: 9ad3ba452Szhanglinjuan* http://license.coscl.org.cn/MulanPSL2 10ad3ba452Szhanglinjuan* 11ad3ba452Szhanglinjuan* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 12ad3ba452Szhanglinjuan* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 13ad3ba452Szhanglinjuan* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 14ad3ba452Szhanglinjuan* 15ad3ba452Szhanglinjuan* See the Mulan PSL v2 for more details. 16ad3ba452Szhanglinjuan***************************************************************************************/ 17ad3ba452Szhanglinjuan 18ad3ba452Szhanglinjuanpackage xiangshan.mem 19ad3ba452Szhanglinjuan 208891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 21ad3ba452Szhanglinjuanimport chisel3._ 22ad3ba452Szhanglinjuanimport chisel3.util._ 239e12e8edScz4eimport freechips.rocketchip.util._ 24ad3ba452Szhanglinjuanimport utils._ 253c02ee8fSwakafaimport utility._ 269e12e8edScz4eimport xiangshan._ 279ae95edaSAnzoooooimport xiangshan.backend.Bundles.DynInst 289ae95edaSAnzoooooimport xiangshan.backend.fu.FuType._ 299e12e8edScz4eimport xiangshan.mem.Bundles._ 309e12e8edScz4eimport xiangshan.cache._ 319e12e8edScz4eimport difftest._ 32ad3ba452Szhanglinjuan 33ad3ba452Szhanglinjuanclass SbufferFlushBundle extends Bundle { 34ad3ba452Szhanglinjuan val valid = Output(Bool()) 35ad3ba452Szhanglinjuan val empty = Input(Bool()) 36ad3ba452Szhanglinjuan} 37ad3ba452Szhanglinjuan 38ad3ba452Szhanglinjuantrait HasSbufferConst extends HasXSParameter { 39ad3ba452Szhanglinjuan val EvictCycles = 1 << 20 40ad3ba452Szhanglinjuan val SbufferReplayDelayCycles = 16 41ad3ba452Szhanglinjuan require(isPow2(EvictCycles)) 42ad3ba452Szhanglinjuan val EvictCountBits = log2Up(EvictCycles+1) 43ad3ba452Szhanglinjuan val MissqReplayCountBits = log2Up(SbufferReplayDelayCycles) + 1 44ad3ba452Szhanglinjuan 458b1251e1SWilliam Wang // dcache write hit resp has 2 sources 46ffd3154dSCharlieLiu // refill pipe resp and main pipe resp (fixed:only main pipe resp) 47ffd3154dSCharlieLiu // val NumDcacheWriteResp = 2 // hardcoded 48ffd3154dSCharlieLiu val NumDcacheWriteResp = 1 // hardcoded 498b1251e1SWilliam Wang 50ad3ba452Szhanglinjuan val SbufferIndexWidth: Int = log2Up(StoreBufferSize) 51ad3ba452Szhanglinjuan // paddr = ptag + offset 52ad3ba452Szhanglinjuan val CacheLineBytes: Int = CacheLineSize / 8 53ad3ba452Szhanglinjuan val CacheLineWords: Int = CacheLineBytes / DataBytes 54ad3ba452Szhanglinjuan val OffsetWidth: Int = log2Up(CacheLineBytes) 55ad3ba452Szhanglinjuan val WordsWidth: Int = log2Up(CacheLineWords) 56ad3ba452Szhanglinjuan val PTagWidth: Int = PAddrBits - OffsetWidth 57ad3ba452Szhanglinjuan val VTagWidth: Int = VAddrBits - OffsetWidth 58ad3ba452Szhanglinjuan val WordOffsetWidth: Int = PAddrBits - WordsWidth 59cdbff57cSHaoyuan Feng 60cdbff57cSHaoyuan Feng val CacheLineVWords: Int = CacheLineBytes / VDataBytes 61cdbff57cSHaoyuan Feng val VWordsWidth: Int = log2Up(CacheLineVWords) 62cdbff57cSHaoyuan Feng val VWordWidth: Int = log2Up(VDataBytes) 63cdbff57cSHaoyuan Feng val VWordOffsetWidth: Int = PAddrBits - VWordWidth 64ad3ba452Szhanglinjuan} 65ad3ba452Szhanglinjuan 66ad3ba452Szhanglinjuanclass SbufferEntryState (implicit p: Parameters) extends SbufferBundle { 67ad3ba452Szhanglinjuan val state_valid = Bool() // this entry is active 68ad3ba452Szhanglinjuan val state_inflight = Bool() // sbuffer is trying to write this entry to dcache 69a98b054bSWilliam Wang val w_timeout = Bool() // with timeout resp, waiting for resend store pipeline req timeout 70a98b054bSWilliam Wang val w_sameblock_inflight = Bool() // same cache block dcache req is inflight 71ad3ba452Szhanglinjuan 72ad3ba452Szhanglinjuan def isInvalid(): Bool = !state_valid 73ad3ba452Szhanglinjuan def isValid(): Bool = state_valid 74ad3ba452Szhanglinjuan def isActive(): Bool = state_valid && !state_inflight 75ad3ba452Szhanglinjuan def isInflight(): Bool = state_inflight 76a98b054bSWilliam Wang def isDcacheReqCandidate(): Bool = state_valid && !state_inflight && !w_sameblock_inflight 77ad3ba452Szhanglinjuan} 78ad3ba452Szhanglinjuan 79ad3ba452Szhanglinjuanclass SbufferBundle(implicit p: Parameters) extends XSBundle with HasSbufferConst 80ad3ba452Szhanglinjuan 81ad3ba452Szhanglinjuanclass DataWriteReq(implicit p: Parameters) extends SbufferBundle { 823d3419b9SWilliam Wang // univerisal writemask 8367c26c34SWilliam Wang val wvec = UInt(StoreBufferSize.W) 843d3419b9SWilliam Wang // 2 cycle update 85cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 86cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 87cdbff57cSHaoyuan Feng val vwordOffset = UInt(VWordOffsetWidth.W) 888b1251e1SWilliam Wang val wline = Bool() // write full cacheline 898b1251e1SWilliam Wang} 908b1251e1SWilliam Wang 918b1251e1SWilliam Wangclass MaskFlushReq(implicit p: Parameters) extends SbufferBundle { 928b1251e1SWilliam Wang // univerisal writemask 938b1251e1SWilliam Wang val wvec = UInt(StoreBufferSize.W) 94ad3ba452Szhanglinjuan} 95ad3ba452Szhanglinjuan 96ad3ba452Szhanglinjuanclass SbufferData(implicit p: Parameters) extends XSModule with HasSbufferConst { 97ad3ba452Szhanglinjuan val io = IO(new Bundle(){ 988b1251e1SWilliam Wang // update data and mask when alloc or merge 9946f74b57SHaojin Tang val writeReq = Vec(EnsbufferWidth, Flipped(ValidIO(new DataWriteReq))) 1008b1251e1SWilliam Wang // clean mask when deq 1018b1251e1SWilliam Wang val maskFlushReq = Vec(NumDcacheWriteResp, Flipped(ValidIO(new MaskFlushReq))) 102cdbff57cSHaoyuan Feng val dataOut = Output(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, UInt(8.W))))) 103cdbff57cSHaoyuan Feng val maskOut = Output(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 104ad3ba452Szhanglinjuan }) 105ad3ba452Szhanglinjuan 106cdbff57cSHaoyuan Feng val data = Reg(Vec(StoreBufferSize, Vec(CacheLineVWords, Vec(VDataBytes, UInt(8.W))))) 1078b1251e1SWilliam Wang // val mask = Reg(Vec(StoreBufferSize, Vec(CacheLineWords, Vec(DataBytes, Bool())))) 1088b1251e1SWilliam Wang val mask = RegInit( 1098b1251e1SWilliam Wang VecInit(Seq.fill(StoreBufferSize)( 110cdbff57cSHaoyuan Feng VecInit(Seq.fill(CacheLineVWords)( 111cdbff57cSHaoyuan Feng VecInit(Seq.fill(VDataBytes)(false.B)) 1128b1251e1SWilliam Wang )) 1138b1251e1SWilliam Wang )) 1148b1251e1SWilliam Wang ) 1158b1251e1SWilliam Wang 1168b1251e1SWilliam Wang // 2 cycle line mask clean 1178b1251e1SWilliam Wang for(line <- 0 until StoreBufferSize){ 1185adc4829SYanqin Li val line_mask_clean_flag = GatedValidRegNext( 1198b1251e1SWilliam Wang io.maskFlushReq.map(a => a.valid && a.bits.wvec(line)).reduce(_ || _) 1208b1251e1SWilliam Wang ) 1218b1251e1SWilliam Wang line_mask_clean_flag.suggestName("line_mask_clean_flag_"+line) 1228b1251e1SWilliam Wang when(line_mask_clean_flag){ 123cdbff57cSHaoyuan Feng for(word <- 0 until CacheLineVWords){ 124cdbff57cSHaoyuan Feng for(byte <- 0 until VDataBytes){ 1258b1251e1SWilliam Wang mask(line)(word)(byte) := false.B 1268b1251e1SWilliam Wang } 1278b1251e1SWilliam Wang } 1288b1251e1SWilliam Wang } 1298b1251e1SWilliam Wang } 130ad3ba452Szhanglinjuan 1313d3419b9SWilliam Wang // 2 cycle data / mask update 13246f74b57SHaojin Tang for(i <- 0 until EnsbufferWidth) { 1333d3419b9SWilliam Wang val req = io.writeReq(i) 13467c26c34SWilliam Wang for(line <- 0 until StoreBufferSize){ 1353d3419b9SWilliam Wang val sbuffer_in_s1_line_wen = req.valid && req.bits.wvec(line) 1365adc4829SYanqin Li val sbuffer_in_s2_line_wen = GatedValidRegNext(sbuffer_in_s1_line_wen) 1373d3419b9SWilliam Wang val line_write_buffer_data = RegEnable(req.bits.data, sbuffer_in_s1_line_wen) 1383d3419b9SWilliam Wang val line_write_buffer_wline = RegEnable(req.bits.wline, sbuffer_in_s1_line_wen) 1393d3419b9SWilliam Wang val line_write_buffer_mask = RegEnable(req.bits.mask, sbuffer_in_s1_line_wen) 140cdbff57cSHaoyuan Feng val line_write_buffer_offset = RegEnable(req.bits.vwordOffset(VWordsWidth-1, 0), sbuffer_in_s1_line_wen) 1413d3419b9SWilliam Wang sbuffer_in_s1_line_wen.suggestName("sbuffer_in_s1_line_wen_"+line) 1423d3419b9SWilliam Wang sbuffer_in_s2_line_wen.suggestName("sbuffer_in_s2_line_wen_"+line) 1433d3419b9SWilliam Wang line_write_buffer_data.suggestName("line_write_buffer_data_"+line) 1443d3419b9SWilliam Wang line_write_buffer_wline.suggestName("line_write_buffer_wline_"+line) 1453d3419b9SWilliam Wang line_write_buffer_mask.suggestName("line_write_buffer_mask_"+line) 1463d3419b9SWilliam Wang line_write_buffer_offset.suggestName("line_write_buffer_offset_"+line) 147cdbff57cSHaoyuan Feng for(word <- 0 until CacheLineVWords){ 148cdbff57cSHaoyuan Feng for(byte <- 0 until VDataBytes){ 1493d3419b9SWilliam Wang val write_byte = sbuffer_in_s2_line_wen && ( 1503d3419b9SWilliam Wang line_write_buffer_mask(byte) && (line_write_buffer_offset === word.U) || 1513d3419b9SWilliam Wang line_write_buffer_wline 15267c26c34SWilliam Wang ) 1533d3419b9SWilliam Wang when(write_byte){ 1543d3419b9SWilliam Wang data(line)(word)(byte) := line_write_buffer_data(byte*8+7, byte*8) 1553d3419b9SWilliam Wang mask(line)(word)(byte) := true.B 1563d3419b9SWilliam Wang } 1573d3419b9SWilliam Wang } 1583d3419b9SWilliam Wang } 1593d3419b9SWilliam Wang } 1603d3419b9SWilliam Wang } 1613d3419b9SWilliam Wang 1623d3419b9SWilliam Wang // 1 cycle line mask clean 1638b1251e1SWilliam Wang // for(i <- 0 until EnsbufferWidth) { 1648b1251e1SWilliam Wang // val req = io.writeReq(i) 1658b1251e1SWilliam Wang // when(req.valid){ 1668b1251e1SWilliam Wang // for(line <- 0 until StoreBufferSize){ 1678b1251e1SWilliam Wang // when( 1688b1251e1SWilliam Wang // req.bits.wvec(line) && 1698b1251e1SWilliam Wang // req.bits.cleanMask 1708b1251e1SWilliam Wang // ){ 1718b1251e1SWilliam Wang // for(word <- 0 until CacheLineWords){ 1728b1251e1SWilliam Wang // for(byte <- 0 until DataBytes){ 1738b1251e1SWilliam Wang // mask(line)(word)(byte) := false.B 1748b1251e1SWilliam Wang // val debug_last_cycle_write_byte = RegNext(req.valid && req.bits.wvec(line) && ( 1758b1251e1SWilliam Wang // req.bits.mask(byte) && (req.bits.wordOffset(WordsWidth-1, 0) === word.U) || 1768b1251e1SWilliam Wang // req.bits.wline 1778b1251e1SWilliam Wang // )) 1788b1251e1SWilliam Wang // assert(!debug_last_cycle_write_byte) 1798b1251e1SWilliam Wang // } 1808b1251e1SWilliam Wang // } 1818b1251e1SWilliam Wang // } 1828b1251e1SWilliam Wang // } 1838b1251e1SWilliam Wang // } 1848b1251e1SWilliam Wang // } 185ad3ba452Szhanglinjuan 186ad3ba452Szhanglinjuan io.dataOut := data 1873d3419b9SWilliam Wang io.maskOut := mask 188ad3ba452Szhanglinjuan} 189ad3ba452Szhanglinjuan 1909ae95edaSAnzoooooclass Sbuffer(implicit p: Parameters) 1919ae95edaSAnzooooo extends DCacheModule 1929ae95edaSAnzooooo with HasSbufferConst 1939ae95edaSAnzooooo with HasPerfEvents { 194ad3ba452Szhanglinjuan val io = IO(new Bundle() { 195f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 1960d32f713Shappy-lx val in = Vec(EnsbufferWidth, Flipped(Decoupled(new DCacheWordReqWithVaddrAndPfFlag))) //Todo: store logic only support Width == 2 now 1979ae95edaSAnzooooo val vecDifftestInfo = Vec(EnsbufferWidth, Flipped(Decoupled(new DynInst))) 198ad3ba452Szhanglinjuan val dcache = Flipped(new DCacheToSbufferIO) 199ad3ba452Szhanglinjuan val forward = Vec(LoadPipelineWidth, Flipped(new LoadForwardQueryIO)) 200ad3ba452Szhanglinjuan val sqempty = Input(Bool()) 2013fbc86fcSChen Xi val sbempty = Output(Bool()) 202ad3ba452Szhanglinjuan val flush = Flipped(new SbufferFlushBundle) 203ad3ba452Szhanglinjuan val csrCtrl = Flipped(new CustomCSRCtrlIO) 2040d32f713Shappy-lx val store_prefetch = Vec(StorePipelineWidth, DecoupledIO(new StorePrefetchReq)) // to dcache 2050d32f713Shappy-lx val memSetPattenDetected = Input(Bool()) 2062fdb4d6aShappy-lx val force_write = Input(Bool()) 207ad3ba452Szhanglinjuan }) 208ad3ba452Szhanglinjuan 209ad3ba452Szhanglinjuan val dataModule = Module(new SbufferData) 210ad3ba452Szhanglinjuan dataModule.io.writeReq <> DontCare 2110d32f713Shappy-lx val prefetcher = Module(new StorePfWrapper()) 212ad3ba452Szhanglinjuan val writeReq = dataModule.io.writeReq 213ad3ba452Szhanglinjuan 214ad3ba452Szhanglinjuan val ptag = Reg(Vec(StoreBufferSize, UInt(PTagWidth.W))) 215ad3ba452Szhanglinjuan val vtag = Reg(Vec(StoreBufferSize, UInt(VTagWidth.W))) 2163d3419b9SWilliam Wang val debug_mask = Reg(Vec(StoreBufferSize, Vec(CacheLineWords, Vec(DataBytes, Bool())))) 217a98b054bSWilliam Wang val waitInflightMask = Reg(Vec(StoreBufferSize, UInt(StoreBufferSize.W))) 218ad3ba452Szhanglinjuan val data = dataModule.io.dataOut 2193d3419b9SWilliam Wang val mask = dataModule.io.maskOut 220ad3ba452Szhanglinjuan val stateVec = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U.asTypeOf(new SbufferEntryState)))) 221ad3ba452Szhanglinjuan val cohCount = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U(EvictCountBits.W)))) 222ad3ba452Szhanglinjuan val missqReplayCount = RegInit(VecInit(Seq.fill(StoreBufferSize)(0.U(MissqReplayCountBits.W)))) 223ad3ba452Szhanglinjuan 22480382c05SWilliam Wang val sbuffer_out_s0_fire = Wire(Bool()) 22596b1e495SWilliam Wang 226ad3ba452Szhanglinjuan /* 227ad3ba452Szhanglinjuan idle --[flush] --> drain --[buf empty]--> idle 228ad3ba452Szhanglinjuan --[buf full]--> replace --[dcache resp]--> idle 229ad3ba452Szhanglinjuan */ 230ad3ba452Szhanglinjuan // x_drain_all: drain store queue and sbuffer 231ad3ba452Szhanglinjuan // x_drain_sbuffer: drain sbuffer only, block store queue to sbuffer write 232ad3ba452Szhanglinjuan val x_idle :: x_replace :: x_drain_all :: x_drain_sbuffer :: Nil = Enum(4) 233ad3ba452Szhanglinjuan def needDrain(state: UInt): Bool = 234ad3ba452Szhanglinjuan state(1) 235ad3ba452Szhanglinjuan val sbuffer_state = RegInit(x_idle) 236ad3ba452Szhanglinjuan 237ad3ba452Szhanglinjuan // ---------------------- Store Enq Sbuffer --------------------- 238ad3ba452Szhanglinjuan 239ad3ba452Szhanglinjuan def getPTag(pa: UInt): UInt = 240ad3ba452Szhanglinjuan pa(PAddrBits - 1, PAddrBits - PTagWidth) 241ad3ba452Szhanglinjuan 242ad3ba452Szhanglinjuan def getVTag(va: UInt): UInt = 243ad3ba452Szhanglinjuan va(VAddrBits - 1, VAddrBits - VTagWidth) 244ad3ba452Szhanglinjuan 245ad3ba452Szhanglinjuan def getWord(pa: UInt): UInt = 246ad3ba452Szhanglinjuan pa(PAddrBits-1, 3) 247ad3ba452Szhanglinjuan 248cdbff57cSHaoyuan Feng def getVWord(pa: UInt): UInt = 249cdbff57cSHaoyuan Feng pa(PAddrBits-1, 4) 250cdbff57cSHaoyuan Feng 251ad3ba452Szhanglinjuan def getWordOffset(pa: UInt): UInt = 252ad3ba452Szhanglinjuan pa(OffsetWidth-1, 3) 253ad3ba452Szhanglinjuan 254cdbff57cSHaoyuan Feng def getVWordOffset(pa: UInt): UInt = 255cdbff57cSHaoyuan Feng pa(OffsetWidth-1, 4) 256cdbff57cSHaoyuan Feng 257ad3ba452Szhanglinjuan def getAddr(ptag: UInt): UInt = 258ad3ba452Szhanglinjuan Cat(ptag, 0.U((PAddrBits - PTagWidth).W)) 259ad3ba452Szhanglinjuan 260ad3ba452Szhanglinjuan def getByteOffset(offect: UInt): UInt = 261ad3ba452Szhanglinjuan Cat(offect(OffsetWidth - 1, 3), 0.U(3.W)) 262ad3ba452Szhanglinjuan 263ad3ba452Szhanglinjuan def isOneOf(key: UInt, seq: Seq[UInt]): Bool = 264935edac4STang Haojin if(seq.isEmpty) false.B else Cat(seq.map(_===key)).orR 265ad3ba452Szhanglinjuan 266ad3ba452Szhanglinjuan def widthMap[T <: Data](f: Int => T) = (0 until StoreBufferSize) map f 267ad3ba452Szhanglinjuan 268ad3ba452Szhanglinjuan // sbuffer entry count 269ad3ba452Szhanglinjuan 2702fdb4d6aShappy-lx val plru = new ValidPseudoLRU(StoreBufferSize) 27146f74b57SHaojin Tang val accessIdx = Wire(Vec(EnsbufferWidth + 1, Valid(UInt(SbufferIndexWidth.W)))) 272ad3ba452Szhanglinjuan 273d2b20d1aSTang Haojin val candidateVec = VecInit(stateVec.map(s => s.isDcacheReqCandidate())) 274d2b20d1aSTang Haojin 2752fdb4d6aShappy-lx val replaceAlgoIdx = plru.way(candidateVec.reverse)._2 276d2b20d1aSTang Haojin val replaceAlgoNotDcacheCandidate = !stateVec(replaceAlgoIdx).isDcacheReqCandidate() 277d2b20d1aSTang Haojin 278935edac4STang Haojin assert(!(candidateVec.asUInt.orR && replaceAlgoNotDcacheCandidate), "we have way to select, but replace algo selects invalid way") 2792fdb4d6aShappy-lx 2802fdb4d6aShappy-lx val replaceIdx = replaceAlgoIdx 281ad3ba452Szhanglinjuan plru.access(accessIdx) 282ad3ba452Szhanglinjuan 283ad3ba452Szhanglinjuan //-------------------------cohCount----------------------------- 284ad3ba452Szhanglinjuan // insert and merge: cohCount=0 285ad3ba452Szhanglinjuan // every cycle cohCount+=1 286ad3ba452Szhanglinjuan // if cohCount(EvictCountBits-1)==1, evict 287ad3ba452Szhanglinjuan val cohTimeOutMask = VecInit(widthMap(i => cohCount(i)(EvictCountBits - 1) && stateVec(i).isActive())) 288ad3ba452Szhanglinjuan val (cohTimeOutIdx, cohHasTimeOut) = PriorityEncoderWithFlag(cohTimeOutMask) 289779faf12SWilliam Wang val cohTimeOutOH = PriorityEncoderOH(cohTimeOutMask) 290ad3ba452Szhanglinjuan val missqReplayTimeOutMask = VecInit(widthMap(i => missqReplayCount(i)(MissqReplayCountBits - 1) && stateVec(i).w_timeout)) 291779faf12SWilliam Wang val (missqReplayTimeOutIdxGen, missqReplayHasTimeOutGen) = PriorityEncoderWithFlag(missqReplayTimeOutMask) 2925adc4829SYanqin Li val missqReplayHasTimeOut = GatedValidRegNext(missqReplayHasTimeOutGen) && !GatedValidRegNext(sbuffer_out_s0_fire) 293779faf12SWilliam Wang val missqReplayTimeOutIdx = RegEnable(missqReplayTimeOutIdxGen, missqReplayHasTimeOutGen) 294ad3ba452Szhanglinjuan 2953d3419b9SWilliam Wang //-------------------------sbuffer enqueue----------------------------- 2963d3419b9SWilliam Wang 2973d3419b9SWilliam Wang // Now sbuffer enq logic is divided into 3 stages: 2983d3419b9SWilliam Wang 2993d3419b9SWilliam Wang // sbuffer_in_s0: 3003d3419b9SWilliam Wang // * read data and meta from store queue 3013d3419b9SWilliam Wang // * store them in 2 entry fifo queue 3023d3419b9SWilliam Wang 3033d3419b9SWilliam Wang // sbuffer_in_s1: 3043d3419b9SWilliam Wang // * read data and meta from fifo queue 3053d3419b9SWilliam Wang // * update sbuffer meta (vtag, ptag, flag) 306e04c5f64SYanqin Li // * prevent that line from being sent to dcache (add a block condition) 3073d3419b9SWilliam Wang // * prepare cacheline level write enable signal, RegNext() data and mask 3083d3419b9SWilliam Wang 3093d3419b9SWilliam Wang // sbuffer_in_s2: 3103d3419b9SWilliam Wang // * use cacheline level buffer to update sbuffer data and mask 3113d3419b9SWilliam Wang // * remove dcache write block (if there is) 3123d3419b9SWilliam Wang 313ad3ba452Szhanglinjuan val activeMask = VecInit(stateVec.map(s => s.isActive())) 314d2b20d1aSTang Haojin val validMask = VecInit(stateVec.map(s => s.isValid())) 315ad3ba452Szhanglinjuan val drainIdx = PriorityEncoder(activeMask) 316ad3ba452Szhanglinjuan 317ad3ba452Szhanglinjuan val inflightMask = VecInit(stateVec.map(s => s.isInflight())) 318ad3ba452Szhanglinjuan 319ad3ba452Szhanglinjuan val inptags = io.in.map(in => getPTag(in.bits.addr)) 320ad3ba452Szhanglinjuan val invtags = io.in.map(in => getVTag(in.bits.vaddr)) 321c9ae2b14SXuan Hu val sameTag = inptags(0) === inptags(1) && io.in(0).valid && io.in(1).valid && io.in(0).bits.vecValid && io.in(1).bits.vecValid 322cdbff57cSHaoyuan Feng val firstWord = getVWord(io.in(0).bits.addr) 323cdbff57cSHaoyuan Feng val secondWord = getVWord(io.in(1).bits.addr) 324ad3ba452Szhanglinjuan // merge condition 32546f74b57SHaojin Tang val mergeMask = Wire(Vec(EnsbufferWidth, Vec(StoreBufferSize, Bool()))) 32667c26c34SWilliam Wang val mergeIdx = mergeMask.map(PriorityEncoder(_)) // avoid using mergeIdx for better timing 327ad3ba452Szhanglinjuan val canMerge = mergeMask.map(ParallelOR(_)) 32867c26c34SWilliam Wang val mergeVec = mergeMask.map(_.asUInt) 329ad3ba452Szhanglinjuan 33046f74b57SHaojin Tang for(i <- 0 until EnsbufferWidth){ 331ad3ba452Szhanglinjuan mergeMask(i) := widthMap(j => 332ad3ba452Szhanglinjuan inptags(i) === ptag(j) && activeMask(j) 333ad3ba452Szhanglinjuan ) 334315e1323Sgood-circle assert(!(PopCount(mergeMask(i).asUInt) > 1.U && io.in(i).fire && io.in(i).bits.vecValid)) 335ad3ba452Szhanglinjuan } 336ad3ba452Szhanglinjuan 337ad3ba452Szhanglinjuan // insert condition 338ad3ba452Szhanglinjuan // firstInsert: the first invalid entry 339ad3ba452Szhanglinjuan // if first entry canMerge or second entry has the same ptag with the first entry, 340ad3ba452Szhanglinjuan // secondInsert equal the first invalid entry, otherwise, the second invalid entry 341ad3ba452Szhanglinjuan val invalidMask = VecInit(stateVec.map(s => s.isInvalid())) 342db7f55d9SWilliam Wang val evenInvalidMask = GetEvenBits(invalidMask.asUInt) 343db7f55d9SWilliam Wang val oddInvalidMask = GetOddBits(invalidMask.asUInt) 344ad3ba452Szhanglinjuan 34567c26c34SWilliam Wang def getFirstOneOH(input: UInt): UInt = { 34667c26c34SWilliam Wang assert(input.getWidth > 1) 34767c26c34SWilliam Wang val output = WireInit(VecInit(input.asBools)) 34867c26c34SWilliam Wang (1 until input.getWidth).map(i => { 34967c26c34SWilliam Wang output(i) := !input(i - 1, 0).orR && input(i) 35067c26c34SWilliam Wang }) 35167c26c34SWilliam Wang output.asUInt 35267c26c34SWilliam Wang } 35367c26c34SWilliam Wang 354db7f55d9SWilliam Wang val evenRawInsertVec = getFirstOneOH(evenInvalidMask) 355db7f55d9SWilliam Wang val oddRawInsertVec = getFirstOneOH(oddInvalidMask) 356db7f55d9SWilliam Wang val (evenRawInsertIdx, evenCanInsert) = PriorityEncoderWithFlag(evenInvalidMask) 357db7f55d9SWilliam Wang val (oddRawInsertIdx, oddCanInsert) = PriorityEncoderWithFlag(oddInvalidMask) 358db7f55d9SWilliam Wang val evenInsertIdx = Cat(evenRawInsertIdx, 0.U(1.W)) // slow to generate, for debug only 359db7f55d9SWilliam Wang val oddInsertIdx = Cat(oddRawInsertIdx, 1.U(1.W)) // slow to generate, for debug only 360db7f55d9SWilliam Wang val evenInsertVec = GetEvenBits.reverse(evenRawInsertVec) 361db7f55d9SWilliam Wang val oddInsertVec = GetOddBits.reverse(oddRawInsertVec) 362ad3ba452Szhanglinjuan 363db7f55d9SWilliam Wang val enbufferSelReg = RegInit(false.B) 364db7f55d9SWilliam Wang when(io.in(0).valid) { 365db7f55d9SWilliam Wang enbufferSelReg := ~enbufferSelReg 366ad3ba452Szhanglinjuan } 367ad3ba452Szhanglinjuan 368db7f55d9SWilliam Wang val firstInsertIdx = Mux(enbufferSelReg, evenInsertIdx, oddInsertIdx) // slow to generate, for debug only 369db7f55d9SWilliam Wang val secondInsertIdx = Mux(sameTag, 370db7f55d9SWilliam Wang firstInsertIdx, 371db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenInsertIdx, oddInsertIdx) 37267c26c34SWilliam Wang ) // slow to generate, for debug only 373db7f55d9SWilliam Wang val firstInsertVec = Mux(enbufferSelReg, evenInsertVec, oddInsertVec) 374db7f55d9SWilliam Wang val secondInsertVec = Mux(sameTag, 375db7f55d9SWilliam Wang firstInsertVec, 376db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenInsertVec, oddInsertVec) 37767c26c34SWilliam Wang ) // slow to generate, for debug only 378db7f55d9SWilliam Wang val firstCanInsert = sbuffer_state =/= x_drain_sbuffer && Mux(enbufferSelReg, evenCanInsert, oddCanInsert) 379db7f55d9SWilliam Wang val secondCanInsert = sbuffer_state =/= x_drain_sbuffer && Mux(sameTag, 380db7f55d9SWilliam Wang firstCanInsert, 381db7f55d9SWilliam Wang Mux(~enbufferSelReg, evenCanInsert, oddCanInsert) 382db7f55d9SWilliam Wang ) && (EnsbufferWidth >= 1).B 38396b1e495SWilliam Wang val forward_need_uarch_drain = WireInit(false.B) 38496b1e495SWilliam Wang val merge_need_uarch_drain = WireInit(false.B) 3855adc4829SYanqin Li val do_uarch_drain = GatedValidRegNext(forward_need_uarch_drain) || GatedValidRegNext(GatedValidRegNext(merge_need_uarch_drain)) 386ad3ba452Szhanglinjuan XSPerfAccumulate("do_uarch_drain", do_uarch_drain) 387ad3ba452Szhanglinjuan 388db7f55d9SWilliam Wang io.in(0).ready := firstCanInsert 38945a77344SHaoyuan Feng io.in(1).ready := secondCanInsert && io.in(0).ready 390ad3ba452Szhanglinjuan 3910d32f713Shappy-lx for (i <- 0 until EnsbufferWidth) { 3920d32f713Shappy-lx // train 3930d32f713Shappy-lx if (EnableStorePrefetchSPB) { 394315e1323Sgood-circle prefetcher.io.sbuffer_enq(i).valid := io.in(i).fire && io.in(i).bits.vecValid 3950d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits := DontCare 3960d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits.vaddr := io.in(i).bits.vaddr 3970d32f713Shappy-lx } else { 3980d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).valid := false.B 3990d32f713Shappy-lx prefetcher.io.sbuffer_enq(i).bits := DontCare 4000d32f713Shappy-lx } 4010d32f713Shappy-lx 4020d32f713Shappy-lx // prefetch req 4030d32f713Shappy-lx if (EnableStorePrefetchAtCommit) { 4040d32f713Shappy-lx if (EnableAtCommitMissTrigger) { 405315e1323Sgood-circle io.store_prefetch(i).valid := prefetcher.io.prefetch_req(i).valid || (io.in(i).fire && io.in(i).bits.vecValid && io.in(i).bits.prefetch) 4060d32f713Shappy-lx } else { 407315e1323Sgood-circle io.store_prefetch(i).valid := prefetcher.io.prefetch_req(i).valid || (io.in(i).fire && io.in(i).bits.vecValid) 4080d32f713Shappy-lx } 4090d32f713Shappy-lx io.store_prefetch(i).bits.paddr := DontCare 4100d32f713Shappy-lx io.store_prefetch(i).bits.vaddr := Mux(prefetcher.io.prefetch_req(i).valid, prefetcher.io.prefetch_req(i).bits.vaddr, io.in(i).bits.vaddr) 4110d32f713Shappy-lx prefetcher.io.prefetch_req(i).ready := io.store_prefetch(i).ready 4120d32f713Shappy-lx } else { 4130d32f713Shappy-lx io.store_prefetch(i) <> prefetcher.io.prefetch_req(i) 4140d32f713Shappy-lx } 415202674aeSHaojin Tang io.store_prefetch zip prefetcher.io.prefetch_req drop 2 foreach (x => x._1 <> x._2) 4160d32f713Shappy-lx } 4170d32f713Shappy-lx prefetcher.io.memSetPattenDetected := io.memSetPattenDetected 4180d32f713Shappy-lx 4193d3419b9SWilliam Wang def wordReqToBufLine( // allocate a new line in sbuffer 4203d3419b9SWilliam Wang req: DCacheWordReq, 4213d3419b9SWilliam Wang reqptag: UInt, 4223d3419b9SWilliam Wang reqvtag: UInt, 4233d3419b9SWilliam Wang insertIdx: UInt, 4243d3419b9SWilliam Wang insertVec: UInt, 4258b1251e1SWilliam Wang wordOffset: UInt 4263d3419b9SWilliam Wang ): Unit = { 42767c26c34SWilliam Wang assert(UIntToOH(insertIdx) === insertVec) 428a98b054bSWilliam Wang val sameBlockInflightMask = genSameBlockInflightMask(reqptag) 42967c26c34SWilliam Wang (0 until StoreBufferSize).map(entryIdx => { 43067c26c34SWilliam Wang when(insertVec(entryIdx)){ 43167c26c34SWilliam Wang stateVec(entryIdx).state_valid := true.B 43267c26c34SWilliam Wang stateVec(entryIdx).w_sameblock_inflight := sameBlockInflightMask.orR // set w_sameblock_inflight when a line is first allocated 433a98b054bSWilliam Wang when(sameBlockInflightMask.orR){ 43467c26c34SWilliam Wang waitInflightMask(entryIdx) := sameBlockInflightMask 435a98b054bSWilliam Wang } 43667c26c34SWilliam Wang cohCount(entryIdx) := 0.U 43796b1e495SWilliam Wang // missqReplayCount(insertIdx) := 0.U 43867c26c34SWilliam Wang ptag(entryIdx) := reqptag 439cdbff57cSHaoyuan Feng vtag(entryIdx) := reqvtag // update vtag if a new sbuffer line is allocated 440ad3ba452Szhanglinjuan } 44167c26c34SWilliam Wang }) 44267c26c34SWilliam Wang } 443ad3ba452Szhanglinjuan 4443d3419b9SWilliam Wang def mergeWordReq( // merge write req into an existing line 4453d3419b9SWilliam Wang req: DCacheWordReq, 4463d3419b9SWilliam Wang reqptag: UInt, 4473d3419b9SWilliam Wang reqvtag: UInt, 4483d3419b9SWilliam Wang mergeIdx: UInt, 4493d3419b9SWilliam Wang mergeVec: UInt, 4503d3419b9SWilliam Wang wordOffset: UInt 4513d3419b9SWilliam Wang ): Unit = { 45267c26c34SWilliam Wang assert(UIntToOH(mergeIdx) === mergeVec) 45367c26c34SWilliam Wang (0 until StoreBufferSize).map(entryIdx => { 45467c26c34SWilliam Wang when(mergeVec(entryIdx)) { 45567c26c34SWilliam Wang cohCount(entryIdx) := 0.U 45667c26c34SWilliam Wang // missqReplayCount(entryIdx) := 0.U 457ad3ba452Szhanglinjuan // check if vtag is the same, if not, trigger sbuffer flush 45867c26c34SWilliam Wang when(reqvtag =/= vtag(entryIdx)) { 4598b33cd30Sklin02 merge_need_uarch_drain := true.B 4608b33cd30Sklin02 } 4618b33cd30Sklin02 } 46267c26c34SWilliam Wang }) 46367c26c34SWilliam Wang } 464ad3ba452Szhanglinjuan 465cdbff57cSHaoyuan Feng for(((in, vwordOffset), i) <- io.in.zip(Seq(firstWord, secondWord)).zipWithIndex){ 466315e1323Sgood-circle writeReq(i).valid := in.fire && in.bits.vecValid 467cdbff57cSHaoyuan Feng writeReq(i).bits.vwordOffset := vwordOffset 468ad3ba452Szhanglinjuan writeReq(i).bits.mask := in.bits.mask 469ad3ba452Szhanglinjuan writeReq(i).bits.data := in.bits.data 470ca18a0b4SWilliam Wang writeReq(i).bits.wline := in.bits.wline 4713d3419b9SWilliam Wang val debug_insertIdx = if(i == 0) firstInsertIdx else secondInsertIdx 4723d3419b9SWilliam Wang val insertVec = if(i == 0) firstInsertVec else secondInsertVec 473315e1323Sgood-circle assert(!((PopCount(insertVec) > 1.U) && in.fire && in.bits.vecValid)) 47467c26c34SWilliam Wang val insertIdx = OHToUInt(insertVec) 4755adc4829SYanqin Li val accessValid = in.fire && in.bits.vecValid 4765adc4829SYanqin Li accessIdx(i).valid := RegNext(accessValid) 4775adc4829SYanqin Li accessIdx(i).bits := RegEnable(Mux(canMerge(i), mergeIdx(i), insertIdx), accessValid) 4788b33cd30Sklin02 4798b33cd30Sklin02 XSDebug(accessValid && canMerge(i), p"merge req $i to line [${mergeIdx(i)}]\n") 4808b33cd30Sklin02 XSDebug(accessValid && !canMerge(i), p"insert req $i to line[$insertIdx]\n") 4815adc4829SYanqin Li when(accessValid){ 482ad3ba452Szhanglinjuan when(canMerge(i)){ 48367c26c34SWilliam Wang writeReq(i).bits.wvec := mergeVec(i) 484cdbff57cSHaoyuan Feng mergeWordReq(in.bits, inptags(i), invtags(i), mergeIdx(i), mergeVec(i), vwordOffset) 485ad3ba452Szhanglinjuan }.otherwise({ 48667c26c34SWilliam Wang writeReq(i).bits.wvec := insertVec 487cdbff57cSHaoyuan Feng wordReqToBufLine(in.bits, inptags(i), invtags(i), insertIdx, insertVec, vwordOffset) 48867c26c34SWilliam Wang assert(debug_insertIdx === insertIdx) 489ad3ba452Szhanglinjuan }) 490ad3ba452Szhanglinjuan } 491*1eb8dd22SKunlin You // XSDebug of mergeWordReq 492*1eb8dd22SKunlin You (0 until StoreBufferSize).map(entryIdx => { 493*1eb8dd22SKunlin You XSDebug( 494*1eb8dd22SKunlin You accessValid && canMerge(i) && 495*1eb8dd22SKunlin You mergeVec(i)(entryIdx) && invtags(i) =/= vtag(entryIdx), 496*1eb8dd22SKunlin You "reqvtag =/= sbufvtag req(vtag %x ptag %x) sbuffer(vtag %x ptag %x)\n", 497*1eb8dd22SKunlin You invtags(i) << OffsetWidth, 498*1eb8dd22SKunlin You inptags(i) << OffsetWidth, 499*1eb8dd22SKunlin You vtag(entryIdx) << OffsetWidth, 500*1eb8dd22SKunlin You ptag(entryIdx) << OffsetWidth 501*1eb8dd22SKunlin You ) 502*1eb8dd22SKunlin You }) 503ad3ba452Szhanglinjuan } 504ad3ba452Szhanglinjuan 505ad3ba452Szhanglinjuan 506ad3ba452Szhanglinjuan for(i <- 0 until StoreBufferSize){ 507ad3ba452Szhanglinjuan XSDebug(stateVec(i).isValid(), 508ad3ba452Szhanglinjuan p"[$i] timeout:${cohCount(i)(EvictCountBits-1)} state:${stateVec(i)}\n" 509ad3ba452Szhanglinjuan ) 510ad3ba452Szhanglinjuan } 511ad3ba452Szhanglinjuan 512ad3ba452Szhanglinjuan for((req, i) <- io.in.zipWithIndex){ 513315e1323Sgood-circle XSDebug(req.fire && req.bits.vecValid, 514ad3ba452Szhanglinjuan p"accept req [$i]: " + 515ad3ba452Szhanglinjuan p"addr:${Hexadecimal(req.bits.addr)} " + 516cdbff57cSHaoyuan Feng p"mask:${Binary(shiftMaskToLow(req.bits.addr,req.bits.mask))} " + 517cdbff57cSHaoyuan Feng p"data:${Hexadecimal(shiftDataToLow(req.bits.addr,req.bits.data))}\n" 518ad3ba452Szhanglinjuan ) 519ad3ba452Szhanglinjuan XSDebug(req.valid && !req.ready, 520ad3ba452Szhanglinjuan p"req [$i] blocked by sbuffer\n" 521ad3ba452Szhanglinjuan ) 522ad3ba452Szhanglinjuan } 523ad3ba452Szhanglinjuan 5240d32f713Shappy-lx // for now, when enq, trigger a prefetch (if EnableAtCommitMissTrigger) 525202674aeSHaojin Tang require(EnsbufferWidth <= StorePipelineWidth) 5260d32f713Shappy-lx 527ad3ba452Szhanglinjuan // ---------------------- Send Dcache Req --------------------- 528ad3ba452Szhanglinjuan 529935edac4STang Haojin val sbuffer_empty = Cat(invalidMask).andR 530935edac4STang Haojin val sq_empty = !Cat(io.in.map(_.valid)).orR 531ad3ba452Szhanglinjuan val empty = sbuffer_empty && sq_empty 5322fdb4d6aShappy-lx val threshold = Wire(UInt(5.W)) // RegNext(io.csrCtrl.sbuffer_threshold +& 1.U) 533c686adcdSYinan Xu threshold := Constantin.createRecord(s"StoreBufferThreshold_${p(XSCoreParamsKey).HartId}", initValue = 7) 5342fdb4d6aShappy-lx val base = Wire(UInt(5.W)) 535c686adcdSYinan Xu base := Constantin.createRecord(s"StoreBufferBase_${p(XSCoreParamsKey).HartId}", initValue = 4) 536d2b20d1aSTang Haojin val ActiveCount = PopCount(activeMask) 537d2b20d1aSTang Haojin val ValidCount = PopCount(validMask) 5382fdb4d6aShappy-lx val forceThreshold = Mux(io.force_write, threshold - base, threshold) 5395adc4829SYanqin Li val do_eviction = GatedValidRegNext(ActiveCount >= forceThreshold || ActiveCount === (StoreBufferSize-1).U || ValidCount === (StoreBufferSize).U, init = false.B) 540ad3ba452Szhanglinjuan require((StoreBufferThreshold + 1) <= StoreBufferSize) 541ad3ba452Szhanglinjuan 542d2b20d1aSTang Haojin XSDebug(p"ActiveCount[$ActiveCount]\n") 543ad3ba452Szhanglinjuan 5443fbc86fcSChen Xi io.sbempty := GatedValidRegNext(empty) 5455adc4829SYanqin Li io.flush.empty := GatedValidRegNext(empty && io.sqempty) 546ad3ba452Szhanglinjuan // lru.io.flush := sbuffer_state === x_drain_all && empty 547ad3ba452Szhanglinjuan switch(sbuffer_state){ 548ad3ba452Szhanglinjuan is(x_idle){ 549ad3ba452Szhanglinjuan when(io.flush.valid){ 550ad3ba452Szhanglinjuan sbuffer_state := x_drain_all 551ad3ba452Szhanglinjuan }.elsewhen(do_uarch_drain){ 552ad3ba452Szhanglinjuan sbuffer_state := x_drain_sbuffer 553ad3ba452Szhanglinjuan }.elsewhen(do_eviction){ 554ad3ba452Szhanglinjuan sbuffer_state := x_replace 555ad3ba452Szhanglinjuan } 556ad3ba452Szhanglinjuan } 557ad3ba452Szhanglinjuan is(x_drain_all){ 558ad3ba452Szhanglinjuan when(empty){ 559ad3ba452Szhanglinjuan sbuffer_state := x_idle 560ad3ba452Szhanglinjuan } 561ad3ba452Szhanglinjuan } 562ad3ba452Szhanglinjuan is(x_drain_sbuffer){ 563a98b054bSWilliam Wang when(io.flush.valid){ 564a98b054bSWilliam Wang sbuffer_state := x_drain_all 565a98b054bSWilliam Wang }.elsewhen(sbuffer_empty){ 566ad3ba452Szhanglinjuan sbuffer_state := x_idle 567ad3ba452Szhanglinjuan } 568ad3ba452Szhanglinjuan } 569ad3ba452Szhanglinjuan is(x_replace){ 570ad3ba452Szhanglinjuan when(io.flush.valid){ 571ad3ba452Szhanglinjuan sbuffer_state := x_drain_all 572ad3ba452Szhanglinjuan }.elsewhen(do_uarch_drain){ 573ad3ba452Szhanglinjuan sbuffer_state := x_drain_sbuffer 574ad3ba452Szhanglinjuan }.elsewhen(!do_eviction){ 575ad3ba452Szhanglinjuan sbuffer_state := x_idle 576ad3ba452Szhanglinjuan } 577ad3ba452Szhanglinjuan } 578ad3ba452Szhanglinjuan } 579ad3ba452Szhanglinjuan XSDebug(p"sbuffer state:${sbuffer_state} do eviction:${do_eviction} empty:${empty}\n") 580ad3ba452Szhanglinjuan 581ad3ba452Szhanglinjuan def noSameBlockInflight(idx: UInt): Bool = { 582ad3ba452Szhanglinjuan // stateVec(idx) itself must not be s_inflight 583935edac4STang Haojin !Cat(widthMap(i => inflightMask(i) && ptag(idx) === ptag(i))).orR 584ad3ba452Szhanglinjuan } 585ad3ba452Szhanglinjuan 586a98b054bSWilliam Wang def genSameBlockInflightMask(ptag_in: UInt): UInt = { 587a98b054bSWilliam Wang val mask = VecInit(widthMap(i => inflightMask(i) && ptag_in === ptag(i))).asUInt // quite slow, use it with care 588a98b054bSWilliam Wang assert(!(PopCount(mask) > 1.U)) 589a98b054bSWilliam Wang mask 590a98b054bSWilliam Wang } 591a98b054bSWilliam Wang 592a98b054bSWilliam Wang def haveSameBlockInflight(ptag_in: UInt): Bool = { 593a98b054bSWilliam Wang genSameBlockInflightMask(ptag_in).orR 594a98b054bSWilliam Wang } 595a98b054bSWilliam Wang 59680382c05SWilliam Wang // --------------------------------------------------------------------------- 59780382c05SWilliam Wang // sbuffer to dcache pipeline 59880382c05SWilliam Wang // --------------------------------------------------------------------------- 59980382c05SWilliam Wang 6003d3419b9SWilliam Wang // Now sbuffer deq logic is divided into 2 stages: 6013d3419b9SWilliam Wang 6023d3419b9SWilliam Wang // sbuffer_out_s0: 6033d3419b9SWilliam Wang // * read data and meta from sbuffer 6043d3419b9SWilliam Wang // * RegNext() them 6053d3419b9SWilliam Wang // * set line state to inflight 6063d3419b9SWilliam Wang 6073d3419b9SWilliam Wang // sbuffer_out_s1: 6083d3419b9SWilliam Wang // * send write req to dcache 6093d3419b9SWilliam Wang 6103d3419b9SWilliam Wang // sbuffer_out_extra: 6113d3419b9SWilliam Wang // * receive write result from dcache 6123d3419b9SWilliam Wang // * update line state 6133d3419b9SWilliam Wang 61480382c05SWilliam Wang val sbuffer_out_s1_ready = Wire(Bool()) 61580382c05SWilliam Wang 61680382c05SWilliam Wang // --------------------------------------------------------------------------- 61780382c05SWilliam Wang // sbuffer_out_s0 61880382c05SWilliam Wang // --------------------------------------------------------------------------- 61980382c05SWilliam Wang 620ad3ba452Szhanglinjuan val need_drain = needDrain(sbuffer_state) 621ad3ba452Szhanglinjuan val need_replace = do_eviction || (sbuffer_state === x_replace) 62280382c05SWilliam Wang val sbuffer_out_s0_evictionIdx = Mux(missqReplayHasTimeOut, 623779faf12SWilliam Wang missqReplayTimeOutIdx, 624ad3ba452Szhanglinjuan Mux(need_drain, 625ad3ba452Szhanglinjuan drainIdx, 626ad3ba452Szhanglinjuan Mux(cohHasTimeOut, cohTimeOutIdx, replaceIdx) 627ad3ba452Szhanglinjuan ) 628ad3ba452Szhanglinjuan ) 629ad3ba452Szhanglinjuan 63080382c05SWilliam Wang // If there is a inflight dcache req which has same ptag with sbuffer_out_s0_evictionIdx's ptag, 63180382c05SWilliam Wang // current eviction should be blocked. 63280382c05SWilliam Wang val sbuffer_out_s0_valid = missqReplayHasTimeOut || 63380382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).isDcacheReqCandidate() && 63480382c05SWilliam Wang (need_drain || cohHasTimeOut || need_replace) 63580382c05SWilliam Wang assert(!( 636e3da8badSTang Haojin stateVec(sbuffer_out_s0_evictionIdx).isDcacheReqCandidate() && 63780382c05SWilliam Wang !noSameBlockInflight(sbuffer_out_s0_evictionIdx) 63880382c05SWilliam Wang )) 63980382c05SWilliam Wang val sbuffer_out_s0_cango = sbuffer_out_s1_ready 64080382c05SWilliam Wang sbuffer_out_s0_fire := sbuffer_out_s0_valid && sbuffer_out_s0_cango 64180382c05SWilliam Wang 64280382c05SWilliam Wang // --------------------------------------------------------------------------- 64380382c05SWilliam Wang // sbuffer_out_s1 64480382c05SWilliam Wang // --------------------------------------------------------------------------- 64580382c05SWilliam Wang 6463d3419b9SWilliam Wang // TODO: use EnsbufferWidth 6475adc4829SYanqin Li val shouldWaitWriteFinish = GatedValidRegNext(VecInit((0 until EnsbufferWidth).map{i => 648779faf12SWilliam Wang (writeReq(i).bits.wvec.asUInt & UIntToOH(sbuffer_out_s0_evictionIdx).asUInt).orR && 649779faf12SWilliam Wang writeReq(i).valid 650779faf12SWilliam Wang }).asUInt.orR) 6513d3419b9SWilliam Wang // block dcache write if read / write hazard 6523d3419b9SWilliam Wang val blockDcacheWrite = shouldWaitWriteFinish 6533d3419b9SWilliam Wang 65480382c05SWilliam Wang val sbuffer_out_s1_valid = RegInit(false.B) 6553d3419b9SWilliam Wang sbuffer_out_s1_ready := io.dcache.req.ready && !blockDcacheWrite || !sbuffer_out_s1_valid 656935edac4STang Haojin val sbuffer_out_s1_fire = io.dcache.req.fire 65780382c05SWilliam Wang 65880382c05SWilliam Wang // when sbuffer_out_s1_fire, send dcache req stored in pipeline reg to dcache 65980382c05SWilliam Wang when(sbuffer_out_s1_fire){ 66080382c05SWilliam Wang sbuffer_out_s1_valid := false.B 661ad3ba452Szhanglinjuan } 66280382c05SWilliam Wang // when sbuffer_out_s0_fire, read dcache req data and store them in a pipeline reg 66380382c05SWilliam Wang when(sbuffer_out_s0_cango){ 66480382c05SWilliam Wang sbuffer_out_s1_valid := sbuffer_out_s0_valid 665ad3ba452Szhanglinjuan } 66680382c05SWilliam Wang when(sbuffer_out_s0_fire){ 66780382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).state_inflight := true.B 66880382c05SWilliam Wang stateVec(sbuffer_out_s0_evictionIdx).w_timeout := false.B 66980382c05SWilliam Wang // stateVec(sbuffer_out_s0_evictionIdx).s_pipe_req := true.B 670ad3ba452Szhanglinjuan } 6718b33cd30Sklin02 XSDebug(sbuffer_out_s0_fire, p"$sbuffer_out_s0_evictionIdx will be sent to Dcache\n") 67280382c05SWilliam Wang 673ad3ba452Szhanglinjuan XSDebug(p"need drain:$need_drain cohHasTimeOut: $cohHasTimeOut need replace:$need_replace\n") 674ad3ba452Szhanglinjuan XSDebug(p"drainIdx:$drainIdx tIdx:$cohTimeOutIdx replIdx:$replaceIdx " + 67580382c05SWilliam Wang p"blocked:${!noSameBlockInflight(sbuffer_out_s0_evictionIdx)} v:${activeMask(sbuffer_out_s0_evictionIdx)}\n") 67680382c05SWilliam Wang XSDebug(p"sbuffer_out_s0_valid:$sbuffer_out_s0_valid evictIdx:$sbuffer_out_s0_evictionIdx dcache ready:${io.dcache.req.ready}\n") 677ad3ba452Szhanglinjuan // Note: if other dcache req in the same block are inflight, 678ad3ba452Szhanglinjuan // the lru update may not accurate 67946f74b57SHaojin Tang accessIdx(EnsbufferWidth).valid := invalidMask(replaceIdx) || ( 68080382c05SWilliam Wang need_replace && !need_drain && !cohHasTimeOut && !missqReplayHasTimeOut && sbuffer_out_s0_cango && activeMask(replaceIdx)) 68146f74b57SHaojin Tang accessIdx(EnsbufferWidth).bits := replaceIdx 682935edac4STang Haojin val sbuffer_out_s1_evictionIdx = RegEnable(sbuffer_out_s0_evictionIdx, sbuffer_out_s0_fire) 683935edac4STang Haojin val sbuffer_out_s1_evictionPTag = RegEnable(ptag(sbuffer_out_s0_evictionIdx), sbuffer_out_s0_fire) 684935edac4STang Haojin val sbuffer_out_s1_evictionVTag = RegEnable(vtag(sbuffer_out_s0_evictionIdx), sbuffer_out_s0_fire) 685ad3ba452Szhanglinjuan 6863d3419b9SWilliam Wang io.dcache.req.valid := sbuffer_out_s1_valid && !blockDcacheWrite 687ad3ba452Szhanglinjuan io.dcache.req.bits := DontCare 688ad3ba452Szhanglinjuan io.dcache.req.bits.cmd := MemoryOpConstants.M_XWR 68980382c05SWilliam Wang io.dcache.req.bits.addr := getAddr(sbuffer_out_s1_evictionPTag) 69080382c05SWilliam Wang io.dcache.req.bits.vaddr := getAddr(sbuffer_out_s1_evictionVTag) 69180382c05SWilliam Wang io.dcache.req.bits.data := data(sbuffer_out_s1_evictionIdx).asUInt 69280382c05SWilliam Wang io.dcache.req.bits.mask := mask(sbuffer_out_s1_evictionIdx).asUInt 69380382c05SWilliam Wang io.dcache.req.bits.id := sbuffer_out_s1_evictionIdx 694ad3ba452Szhanglinjuan 69580382c05SWilliam Wang XSDebug(sbuffer_out_s1_fire, 69680382c05SWilliam Wang p"send buf [$sbuffer_out_s1_evictionIdx] to Dcache, req fire\n" 697ad3ba452Szhanglinjuan ) 698ad3ba452Szhanglinjuan 699ad3ba452Szhanglinjuan // update sbuffer status according to dcache resp source 700ad3ba452Szhanglinjuan 701a98b054bSWilliam Wang def id_to_sbuffer_id(id: UInt): UInt = { 702a98b054bSWilliam Wang require(id.getWidth >= log2Up(StoreBufferSize)) 703a98b054bSWilliam Wang id(log2Up(StoreBufferSize)-1, 0) 704a98b054bSWilliam Wang } 705a98b054bSWilliam Wang 706ad3ba452Szhanglinjuan // hit resp 707ad3ba452Szhanglinjuan io.dcache.hit_resps.map(resp => { 708ad3ba452Szhanglinjuan val dcache_resp_id = resp.bits.id 709935edac4STang Haojin when (resp.fire) { 710ad3ba452Szhanglinjuan stateVec(dcache_resp_id).state_inflight := false.B 711ad3ba452Szhanglinjuan stateVec(dcache_resp_id).state_valid := false.B 712ad3ba452Szhanglinjuan assert(!resp.bits.replay) 713ad3ba452Szhanglinjuan assert(!resp.bits.miss) // not need to resp if miss, to be opted 714ad3ba452Szhanglinjuan assert(stateVec(dcache_resp_id).state_inflight === true.B) 715ad3ba452Szhanglinjuan } 716a98b054bSWilliam Wang 717a98b054bSWilliam Wang // Update w_sameblock_inflight flag is delayed for 1 cycle 718a98b054bSWilliam Wang // 719a98b054bSWilliam Wang // When a new req allocate a new line in sbuffer, sameblock_inflight check will ignore 720a98b054bSWilliam Wang // current dcache.hit_resps. Then, in the next cycle, we have plenty of time to check 721a98b054bSWilliam Wang // if the same block is still inflight 722a98b054bSWilliam Wang (0 until StoreBufferSize).map(i => { 723a98b054bSWilliam Wang when( 724a98b054bSWilliam Wang stateVec(i).w_sameblock_inflight && 725a98b054bSWilliam Wang stateVec(i).state_valid && 7265adc4829SYanqin Li GatedValidRegNext(resp.fire) && 7275adc4829SYanqin Li waitInflightMask(i) === UIntToOH(RegEnable(id_to_sbuffer_id(dcache_resp_id), resp.fire)) 728a98b054bSWilliam Wang ){ 729a98b054bSWilliam Wang stateVec(i).w_sameblock_inflight := false.B 730a98b054bSWilliam Wang } 731ad3ba452Szhanglinjuan }) 732a98b054bSWilliam Wang }) 733a98b054bSWilliam Wang 7348b1251e1SWilliam Wang io.dcache.hit_resps.zip(dataModule.io.maskFlushReq).map{case (resp, maskFlush) => { 735935edac4STang Haojin maskFlush.valid := resp.fire 7368b1251e1SWilliam Wang maskFlush.bits.wvec := UIntToOH(resp.bits.id) 7378b1251e1SWilliam Wang }} 738ad3ba452Szhanglinjuan 739ad3ba452Szhanglinjuan // replay resp 740ad3ba452Szhanglinjuan val replay_resp_id = io.dcache.replay_resp.bits.id 741935edac4STang Haojin when (io.dcache.replay_resp.fire) { 742ad3ba452Szhanglinjuan missqReplayCount(replay_resp_id) := 0.U 743ad3ba452Szhanglinjuan stateVec(replay_resp_id).w_timeout := true.B 744ad3ba452Szhanglinjuan // waiting for timeout 745ad3ba452Szhanglinjuan assert(io.dcache.replay_resp.bits.replay) 746ad3ba452Szhanglinjuan assert(stateVec(replay_resp_id).state_inflight === true.B) 747ad3ba452Szhanglinjuan } 748ad3ba452Szhanglinjuan 749ad3ba452Szhanglinjuan // TODO: reuse cohCount 750ad3ba452Szhanglinjuan (0 until StoreBufferSize).map(i => { 751ad3ba452Szhanglinjuan when(stateVec(i).w_timeout && stateVec(i).state_inflight && !missqReplayCount(i)(MissqReplayCountBits-1)) { 752ad3ba452Szhanglinjuan missqReplayCount(i) := missqReplayCount(i) + 1.U 753ad3ba452Szhanglinjuan } 754ad3ba452Szhanglinjuan when(activeMask(i) && !cohTimeOutMask(i)){ 755ad3ba452Szhanglinjuan cohCount(i) := cohCount(i)+1.U 756ad3ba452Szhanglinjuan } 757ad3ba452Szhanglinjuan }) 758ad3ba452Szhanglinjuan 7591545277aSYinan Xu if (env.EnableDifftest) { 760ad3ba452Szhanglinjuan // hit resp 761ad3ba452Szhanglinjuan io.dcache.hit_resps.zipWithIndex.map{case (resp, index) => { 7627d45a146SYinan Xu val difftest = DifftestModule(new DiffSbufferEvent, delay = 1) 763ad3ba452Szhanglinjuan val dcache_resp_id = resp.bits.id 7647d45a146SYinan Xu difftest.coreid := io.hartId 7657d45a146SYinan Xu difftest.index := index.U 766935edac4STang Haojin difftest.valid := resp.fire 7677d45a146SYinan Xu difftest.addr := getAddr(ptag(dcache_resp_id)) 7687d45a146SYinan Xu difftest.data := data(dcache_resp_id).asTypeOf(Vec(CacheLineBytes, UInt(8.W))) 7697d45a146SYinan Xu difftest.mask := mask(dcache_resp_id).asUInt 770ad3ba452Szhanglinjuan }} 771ad3ba452Szhanglinjuan } 772ad3ba452Szhanglinjuan 773ad3ba452Szhanglinjuan // ---------------------- Load Data Forward --------------------- 774ad3ba452Szhanglinjuan val mismatch = Wire(Vec(LoadPipelineWidth, Bool())) 775db7f55d9SWilliam Wang XSPerfAccumulate("vaddr_match_failed", mismatch(0) || mismatch(1)) 776ad3ba452Szhanglinjuan for ((forward, i) <- io.forward.zipWithIndex) { 777ad3ba452Szhanglinjuan val vtag_matches = VecInit(widthMap(w => vtag(w) === getVTag(forward.vaddr))) 77867cddb05SWilliam Wang // ptag_matches uses paddr from dtlb, which is far from sbuffer 77967cddb05SWilliam Wang val ptag_matches = VecInit(widthMap(w => RegEnable(ptag(w), forward.valid) === RegEnable(getPTag(forward.paddr), forward.valid))) 780ad3ba452Szhanglinjuan val tag_matches = vtag_matches 7815adc4829SYanqin Li val tag_mismatch = GatedValidRegNext(forward.valid) && VecInit(widthMap(w => 7825adc4829SYanqin Li GatedValidRegNext(vtag_matches(w)) =/= ptag_matches(w) && GatedValidRegNext((activeMask(w) || inflightMask(w))) 783ad3ba452Szhanglinjuan )).asUInt.orR 784ad3ba452Szhanglinjuan mismatch(i) := tag_mismatch 785ad3ba452Szhanglinjuan when (tag_mismatch) { 7868b33cd30Sklin02 forward_need_uarch_drain := true.B 7878b33cd30Sklin02 } 7888b33cd30Sklin02 XSDebug( 7898b33cd30Sklin02 tag_mismatch, 7908b33cd30Sklin02 "forward tag mismatch: pmatch %x vmatch %x vaddr %x paddr %x\n", 791ad3ba452Szhanglinjuan RegNext(ptag_matches.asUInt), 792ad3ba452Szhanglinjuan RegNext(vtag_matches.asUInt), 793ad3ba452Szhanglinjuan RegNext(forward.vaddr), 794ad3ba452Szhanglinjuan RegNext(forward.paddr) 795ad3ba452Szhanglinjuan ) 796ad3ba452Szhanglinjuan val valid_tag_matches = widthMap(w => tag_matches(w) && activeMask(w)) 797ad3ba452Szhanglinjuan val inflight_tag_matches = widthMap(w => tag_matches(w) && inflightMask(w)) 798cdbff57cSHaoyuan Feng val line_offset_mask = UIntToOH(getVWordOffset(forward.paddr)) 799ad3ba452Szhanglinjuan 8005adc4829SYanqin Li val valid_tag_match_reg = valid_tag_matches.map(RegEnable(_, forward.valid)) 8015adc4829SYanqin Li val inflight_tag_match_reg = inflight_tag_matches.map(RegEnable(_, forward.valid)) 802a98b054bSWilliam Wang val forward_mask_candidate_reg = RegEnable( 803cdbff57cSHaoyuan Feng VecInit(mask.map(entry => entry(getVWordOffset(forward.paddr)))), 804a98b054bSWilliam Wang forward.valid 805a98b054bSWilliam Wang ) 80696b1e495SWilliam Wang val forward_data_candidate_reg = RegEnable( 807cdbff57cSHaoyuan Feng VecInit(data.map(entry => entry(getVWordOffset(forward.paddr)))), 80896b1e495SWilliam Wang forward.valid 80996b1e495SWilliam Wang ) 810ad3ba452Szhanglinjuan 811a98b054bSWilliam Wang val selectedValidMask = Mux1H(valid_tag_match_reg, forward_mask_candidate_reg) 81296b1e495SWilliam Wang val selectedValidData = Mux1H(valid_tag_match_reg, forward_data_candidate_reg) 813a98b054bSWilliam Wang selectedValidMask.suggestName("selectedValidMask_"+i) 81496b1e495SWilliam Wang selectedValidData.suggestName("selectedValidData_"+i) 815ad3ba452Szhanglinjuan 816a98b054bSWilliam Wang val selectedInflightMask = Mux1H(inflight_tag_match_reg, forward_mask_candidate_reg) 81796b1e495SWilliam Wang val selectedInflightData = Mux1H(inflight_tag_match_reg, forward_data_candidate_reg) 818a98b054bSWilliam Wang selectedInflightMask.suggestName("selectedInflightMask_"+i) 81996b1e495SWilliam Wang selectedInflightData.suggestName("selectedInflightData_"+i) 820ad3ba452Szhanglinjuan 821a98b054bSWilliam Wang // currently not being used 822cdbff57cSHaoyuan Feng val selectedInflightMaskFast = Mux1H(line_offset_mask, Mux1H(inflight_tag_matches, mask).asTypeOf(Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 823cdbff57cSHaoyuan Feng val selectedValidMaskFast = Mux1H(line_offset_mask, Mux1H(valid_tag_matches, mask).asTypeOf(Vec(CacheLineVWords, Vec(VDataBytes, Bool())))) 824ad3ba452Szhanglinjuan 825ad3ba452Szhanglinjuan forward.dataInvalid := false.B // data in store line merge buffer is always ready 826ad3ba452Szhanglinjuan forward.matchInvalid := tag_mismatch // paddr / vaddr cam result does not match 827cdbff57cSHaoyuan Feng for (j <- 0 until VDataBytes) { 828ad3ba452Szhanglinjuan forward.forwardMask(j) := false.B 829ad3ba452Szhanglinjuan forward.forwardData(j) := DontCare 830ad3ba452Szhanglinjuan 831ad3ba452Szhanglinjuan // valid entries have higher priority than inflight entries 832ad3ba452Szhanglinjuan when(selectedInflightMask(j)) { 833ad3ba452Szhanglinjuan forward.forwardMask(j) := true.B 834ad3ba452Szhanglinjuan forward.forwardData(j) := selectedInflightData(j) 835ad3ba452Szhanglinjuan } 836ad3ba452Szhanglinjuan when(selectedValidMask(j)) { 837ad3ba452Szhanglinjuan forward.forwardMask(j) := true.B 838ad3ba452Szhanglinjuan forward.forwardData(j) := selectedValidData(j) 839ad3ba452Szhanglinjuan } 840ad3ba452Szhanglinjuan 841ad3ba452Szhanglinjuan forward.forwardMaskFast(j) := selectedInflightMaskFast(j) || selectedValidMaskFast(j) 842ad3ba452Szhanglinjuan } 843e4f69d78Ssfencevma forward.addrInvalid := DontCare 844ad3ba452Szhanglinjuan } 845ad3ba452Szhanglinjuan 846ad3ba452Szhanglinjuan for (i <- 0 until StoreBufferSize) { 84796b1e495SWilliam Wang XSDebug("sbf entry " + i + " : ptag %x vtag %x valid %x active %x inflight %x w_timeout %x\n", 848ad3ba452Szhanglinjuan ptag(i) << OffsetWidth, 849ad3ba452Szhanglinjuan vtag(i) << OffsetWidth, 850ad3ba452Szhanglinjuan stateVec(i).isValid(), 851ad3ba452Szhanglinjuan activeMask(i), 852ad3ba452Szhanglinjuan inflightMask(i), 853ad3ba452Szhanglinjuan stateVec(i).w_timeout 854ad3ba452Szhanglinjuan ) 855ad3ba452Szhanglinjuan } 856ad3ba452Szhanglinjuan 8579ae95edaSAnzooooo /* 8589ae95edaSAnzooooo * 8599ae95edaSAnzooooo ********************************************************** 8609ae95edaSAnzooooo * ------------- ------------- * 8619ae95edaSAnzooooo * | XiangShan | | NEMU | * 8629ae95edaSAnzooooo * ------------- ------------- * 8639ae95edaSAnzooooo * | | * 8649ae95edaSAnzooooo * V V * 8659ae95edaSAnzooooo * ----- ----- * 8669ae95edaSAnzooooo * | Q | | Q | * 8679ae95edaSAnzooooo * | U | | U | * 8689ae95edaSAnzooooo * | E | | E | * 8699ae95edaSAnzooooo * | U | | U | * 8709ae95edaSAnzooooo * | E | | E | * 8719ae95edaSAnzooooo * | | | | * 8729ae95edaSAnzooooo * ----- ----- * 8739ae95edaSAnzooooo * | | * 8749ae95edaSAnzooooo * | -------------- | * 8759ae95edaSAnzooooo * |>>>>>>>>| DIFFTEST |<<<<<<<<<| * 8769ae95edaSAnzooooo * -------------- * 8779ae95edaSAnzooooo ********************************************************** 8789ae95edaSAnzooooo */ 8793e11bedfSAnzooooo // Initialize when unenabled difftest. 8803e11bedfSAnzooooo for (i <- 0 until EnsbufferWidth) { 8813e11bedfSAnzooooo io.vecDifftestInfo(i) := DontCare 8823e11bedfSAnzooooo } 88360bd4d3cSweiding liu if (env.EnableDifftest) { 8849ae95edaSAnzooooo val VecMemFLOWMaxNumber = 16 8853fbc86fcSChen Xi val WlineMaxNumber = blockWords 8869ae95edaSAnzooooo 8879ae95edaSAnzooooo def UIntSlice(in: UInt, High: UInt, Low: UInt): UInt = { 8889ae95edaSAnzooooo val maxNum = in.getWidth 8899ae95edaSAnzooooo val result = Wire(Vec(maxNum, Bool())) 8909ae95edaSAnzooooo 8919ae95edaSAnzooooo for (i <- 0 until maxNum) { 8929ae95edaSAnzooooo when (Low + i.U <= High) { 8939ae95edaSAnzooooo result(i) := in(Low + i.U) 8949ae95edaSAnzooooo }.otherwise{ 8959ae95edaSAnzooooo result(i) := 0.U 8969ae95edaSAnzooooo } 8979ae95edaSAnzooooo } 8989ae95edaSAnzooooo 8999ae95edaSAnzooooo result.asUInt 9009ae95edaSAnzooooo } 9019ae95edaSAnzooooo 9029ae95edaSAnzooooo // To align with 'nemu', we need: 9039ae95edaSAnzooooo // For 'unit-store' and 'whole' vector store instr, we re-split here, 9049ae95edaSAnzooooo // and for the res, we do nothing. 90560bd4d3cSweiding liu for (i <- 0 until EnsbufferWidth) { 9069ae95edaSAnzooooo io.vecDifftestInfo(i).ready := io.in(i).ready 9079ae95edaSAnzooooo 9089ae95edaSAnzooooo val uop = io.vecDifftestInfo(i).bits 9099ae95edaSAnzooooo 9109ae95edaSAnzooooo val isVse = isVStore(uop.fuType) && LSUOpType.isUStride(uop.fuOpType) 9119ae95edaSAnzooooo val isVsm = isVStore(uop.fuType) && VstuType.isMasked(uop.fuOpType) 9129ae95edaSAnzooooo val isVsr = isVStore(uop.fuType) && VstuType.isWhole(uop.fuOpType) 9139ae95edaSAnzooooo 9149ae95edaSAnzooooo val vpu = uop.vpu 9159ae95edaSAnzooooo val veew = uop.vpu.veew 9169ae95edaSAnzooooo val eew = EewLog2(veew) 9179ae95edaSAnzooooo val EEB = (1.U << eew).asUInt //Only when VLEN=128 effective element byte 9189ae95edaSAnzooooo val EEWBits = (EEB << 3.U).asUInt 9199ae95edaSAnzooooo val nf = Mux(isVsr, 0.U, vpu.nf) 9209ae95edaSAnzooooo 9219ae95edaSAnzooooo val isSegment = nf =/= 0.U && !isVsm 9229ae95edaSAnzooooo val isVSLine = (isVse || isVsm || isVsr) && !isSegment 9233fbc86fcSChen Xi val isWline = io.in(i).bits.wline 9249ae95edaSAnzooooo 9259ae95edaSAnzooooo // The number of stores generated by a uop theroy. 9269ae95edaSAnzooooo // No other vector instructions need to be considered. 9279ae95edaSAnzooooo val flow = Mux( 9289ae95edaSAnzooooo isVSLine, 9299ae95edaSAnzooooo (16.U >> eew).asUInt, 9309ae95edaSAnzooooo 0.U 9319ae95edaSAnzooooo ) 9329ae95edaSAnzooooo 9339ae95edaSAnzooooo val rawData = io.in(i).bits.data 9349ae95edaSAnzooooo val rawMask = io.in(i).bits.mask 9359ae95edaSAnzooooo val rawAddr = io.in(i).bits.addr 9369ae95edaSAnzooooo 9379ae95edaSAnzooooo // A common difftest interface for scalar and vector instr 93816b5cf13STang Haojin val difftestCommon = DifftestModule(new DiffStoreEvent, delay = 2, dontCare = true) 9399ae95edaSAnzooooo when (isVSLine) { 9409ae95edaSAnzooooo val splitMask = UIntSlice(rawMask, EEB - 1.U, 0.U)(7,0) // Byte 9419ae95edaSAnzooooo val splitData = UIntSlice(rawData, EEWBits - 1.U, 0.U)(63,0) // Double word 9429ae95edaSAnzooooo val storeCommit = io.in(i).fire && splitMask.orR && io.in(i).bits.vecValid 9439ae95edaSAnzooooo val waddr = rawAddr 9449ae95edaSAnzooooo val wmask = splitMask 9459ae95edaSAnzooooo val wdata = splitData & MaskExpand(splitMask) 9469ae95edaSAnzooooo 9479ae95edaSAnzooooo difftestCommon.coreid := io.hartId 9489ae95edaSAnzooooo difftestCommon.index := (i*VecMemFLOWMaxNumber).U 9499ae95edaSAnzooooo difftestCommon.valid := storeCommit 9509ae95edaSAnzooooo difftestCommon.addr := waddr 9519ae95edaSAnzooooo difftestCommon.data := wdata 9529ae95edaSAnzooooo difftestCommon.mask := wmask 9531bf9a598SAnzo difftestCommon.robidx := io.vecDifftestInfo(i).bits.robIdx.value 9541bf9a598SAnzo difftestCommon.pc := io.vecDifftestInfo(i).bits.pc 9559ae95edaSAnzooooo 95616b5cf13STang Haojin } .elsewhen (!isWline) { 9579ae95edaSAnzooooo val storeCommit = io.in(i).fire 95860bd4d3cSweiding liu val waddr = ZeroExt(Cat(io.in(i).bits.addr(PAddrBits - 1, 3), 0.U(3.W)), 64) 95960bd4d3cSweiding liu val sbufferMask = shiftMaskToLow(io.in(i).bits.addr, io.in(i).bits.mask) 96060bd4d3cSweiding liu val sbufferData = shiftDataToLow(io.in(i).bits.addr, io.in(i).bits.data) 96160bd4d3cSweiding liu val wmask = sbufferMask 96260bd4d3cSweiding liu val wdata = sbufferData & MaskExpand(sbufferMask) 96360bd4d3cSweiding liu 9649ae95edaSAnzooooo difftestCommon.coreid := io.hartId 9659ae95edaSAnzooooo difftestCommon.index := (i*VecMemFLOWMaxNumber).U 9669ae95edaSAnzooooo difftestCommon.valid := storeCommit && io.in(i).bits.vecValid 9679ae95edaSAnzooooo difftestCommon.addr := waddr 9689ae95edaSAnzooooo difftestCommon.data := wdata 9699ae95edaSAnzooooo difftestCommon.mask := wmask 9701bf9a598SAnzo difftestCommon.robidx := io.vecDifftestInfo(i).bits.robIdx.value 9711bf9a598SAnzo difftestCommon.pc := io.vecDifftestInfo(i).bits.pc 9729ae95edaSAnzooooo } 9739ae95edaSAnzooooo 97416b5cf13STang Haojin for (index <- 0 until WlineMaxNumber) { 97516b5cf13STang Haojin val difftest = DifftestModule(new DiffStoreEvent, delay = 2, dontCare = true) 97616b5cf13STang Haojin 97716b5cf13STang Haojin val storeCommit = io.in(i).fire && io.in(i).bits.vecValid 97816b5cf13STang Haojin val blockAddr = get_block_addr(io.in(i).bits.addr) 97916b5cf13STang Haojin 98016b5cf13STang Haojin when (isWline) { 98116b5cf13STang Haojin difftest.coreid := io.hartId 98216b5cf13STang Haojin difftest.index := (i*VecMemFLOWMaxNumber + index).U 98316b5cf13STang Haojin difftest.valid := storeCommit 98416b5cf13STang Haojin difftest.addr := blockAddr + (index.U << wordOffBits) 98516b5cf13STang Haojin difftest.data := io.in(i).bits.data 98616b5cf13STang Haojin difftest.mask := ((1 << wordBytes) - 1).U 9871bf9a598SAnzo difftest.robidx := io.vecDifftestInfo(i).bits.robIdx.value 9881bf9a598SAnzo difftest.pc := io.vecDifftestInfo(i).bits.pc 98916b5cf13STang Haojin 99016b5cf13STang Haojin assert(!storeCommit || (io.in(i).bits.data === 0.U), "wline only supports whole zero write now") 99116b5cf13STang Haojin } 99216b5cf13STang Haojin } 99316b5cf13STang Haojin 9949ae95edaSAnzooooo // Only the interface used by the 'unit-store' and 'whole' vector store instr 9959ae95edaSAnzooooo for (index <- 1 until VecMemFLOWMaxNumber) { 99616b5cf13STang Haojin val difftest = DifftestModule(new DiffStoreEvent, delay = 2, dontCare = true) 9979ae95edaSAnzooooo 9989ae95edaSAnzooooo // I've already done something process with 'mask' outside: 9999ae95edaSAnzooooo // Different cases of 'vm' have been considered: 10009ae95edaSAnzooooo // Any valid store will definitely not have all 0 masks, 10019ae95edaSAnzooooo // and the extra part due to unaligned access must have a mask of 0 10029ae95edaSAnzooooo when (index.U < flow && isVSLine) { 10039ae95edaSAnzooooo // Make NEMU-difftest happy 10049ae95edaSAnzooooo val shiftIndex = EEB*index.U 10059ae95edaSAnzooooo val shiftFlag = shiftIndex(2,0).orR // Double word Flag 10069ae95edaSAnzooooo val shiftBytes = Mux(shiftFlag, shiftIndex(2,0), 0.U) 10079ae95edaSAnzooooo val shiftBits = shiftBytes << 3.U 10089ae95edaSAnzooooo val splitMask = UIntSlice(rawMask, (EEB*(index+1).U - 1.U), EEB*index.U)(7,0) // Byte 10099ae95edaSAnzooooo val splitData = UIntSlice(rawData, (EEWBits*(index+1).U - 1.U), EEWBits*index.U)(63,0) // Double word 10109ae95edaSAnzooooo val storeCommit = io.in(i).fire && splitMask.orR && io.in(i).bits.vecValid 10119ae95edaSAnzooooo val waddr = Cat(rawAddr(PAddrBits - 1, 4), Cat(shiftIndex(3), 0.U(3.W))) 10129ae95edaSAnzooooo val wmask = splitMask << shiftBytes 10139ae95edaSAnzooooo val wdata = (splitData & MaskExpand(splitMask)) << shiftBits 10149ae95edaSAnzooooo 101560bd4d3cSweiding liu difftest.coreid := io.hartId 10169ae95edaSAnzooooo difftest.index := (i*VecMemFLOWMaxNumber+index).U 101760bd4d3cSweiding liu difftest.valid := storeCommit 101860bd4d3cSweiding liu difftest.addr := waddr 101960bd4d3cSweiding liu difftest.data := wdata 102060bd4d3cSweiding liu difftest.mask := wmask 10211bf9a598SAnzo difftest.robidx := io.vecDifftestInfo(i).bits.robIdx.value 10221bf9a598SAnzo difftest.pc := io.vecDifftestInfo(i).bits.pc 10239ae95edaSAnzooooo } 10249ae95edaSAnzooooo } 102560bd4d3cSweiding liu } 102660bd4d3cSweiding liu } 102760bd4d3cSweiding liu 1028b6d53cefSWilliam Wang val perf_valid_entry_count = RegNext(PopCount(VecInit(stateVec.map(s => !s.isInvalid())).asUInt)) 1029ad3ba452Szhanglinjuan XSPerfHistogram("util", perf_valid_entry_count, true.B, 0, StoreBufferSize, 1) 1030ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_req_valid", PopCount(VecInit(io.in.map(_.valid)).asUInt)) 1031935edac4STang Haojin XSPerfAccumulate("sbuffer_req_fire", PopCount(VecInit(io.in.map(_.fire)).asUInt)) 1032b2d6d8e7Sgood-circle XSPerfAccumulate("sbuffer_req_fire_vecinvalid", PopCount(VecInit(io.in.map(data => data.fire && !data.bits.vecValid)).asUInt)) 1033935edac4STang Haojin XSPerfAccumulate("sbuffer_merge", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && canMerge(i)})).asUInt)) 1034935edac4STang Haojin XSPerfAccumulate("sbuffer_newline", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && !canMerge(i)})).asUInt)) 1035ad3ba452Szhanglinjuan XSPerfAccumulate("dcache_req_valid", io.dcache.req.valid) 1036935edac4STang Haojin XSPerfAccumulate("dcache_req_fire", io.dcache.req.fire) 1037ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_idle", sbuffer_state === x_idle) 1038ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_flush", sbuffer_state === x_drain_sbuffer) 1039ad3ba452Szhanglinjuan XSPerfAccumulate("sbuffer_replace", sbuffer_state === x_replace) 1040db7f55d9SWilliam Wang XSPerfAccumulate("evenCanInsert", evenCanInsert) 1041db7f55d9SWilliam Wang XSPerfAccumulate("oddCanInsert", oddCanInsert) 1042935edac4STang Haojin XSPerfAccumulate("mainpipe_resp_valid", io.dcache.main_pipe_hit_resp.fire) 1043ffd3154dSCharlieLiu //XSPerfAccumulate("refill_resp_valid", io.dcache.refill_hit_resp.fire) 1044935edac4STang Haojin XSPerfAccumulate("replay_resp_valid", io.dcache.replay_resp.fire) 104596b1e495SWilliam Wang XSPerfAccumulate("coh_timeout", cohHasTimeOut) 104696b1e495SWilliam Wang 1047935edac4STang Haojin // val (store_latency_sample, store_latency) = TransactionLatencyCounter(io.lsu.req.fire, io.lsu.resp.fire) 104896b1e495SWilliam Wang // XSPerfHistogram("store_latency", store_latency, store_latency_sample, 0, 100, 10) 1049935edac4STang Haojin // XSPerfAccumulate("store_req", io.lsu.req.fire) 1050cd365d4cSrvcoresjw 1051cd365d4cSrvcoresjw val perfEvents = Seq( 1052cd365d4cSrvcoresjw ("sbuffer_req_valid ", PopCount(VecInit(io.in.map(_.valid)).asUInt) ), 1053935edac4STang Haojin ("sbuffer_req_fire ", PopCount(VecInit(io.in.map(_.fire)).asUInt) ), 1054935edac4STang Haojin ("sbuffer_merge ", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && canMerge(i)})).asUInt) ), 1055935edac4STang Haojin ("sbuffer_newline ", PopCount(VecInit(io.in.zipWithIndex.map({case (in, i) => in.fire && !canMerge(i)})).asUInt) ), 1056cd365d4cSrvcoresjw ("dcache_req_valid ", io.dcache.req.valid ), 1057935edac4STang Haojin ("dcache_req_fire ", io.dcache.req.fire ), 105896b1e495SWilliam Wang ("sbuffer_idle ", sbuffer_state === x_idle ), 105996b1e495SWilliam Wang ("sbuffer_flush ", sbuffer_state === x_drain_sbuffer ), 106096b1e495SWilliam Wang ("sbuffer_replace ", sbuffer_state === x_replace ), 1061935edac4STang Haojin ("mpipe_resp_valid ", io.dcache.main_pipe_hit_resp.fire ), 1062ffd3154dSCharlieLiu //("refill_resp_valid ", io.dcache.refill_hit_resp.fire ), 1063935edac4STang Haojin ("replay_resp_valid ", io.dcache.replay_resp.fire ), 106496b1e495SWilliam Wang ("coh_timeout ", cohHasTimeOut ), 10651ca0e4f3SYinan Xu ("sbuffer_1_4_valid ", (perf_valid_entry_count < (StoreBufferSize.U/4.U)) ), 10661ca0e4f3SYinan Xu ("sbuffer_2_4_valid ", (perf_valid_entry_count > (StoreBufferSize.U/4.U)) & (perf_valid_entry_count <= (StoreBufferSize.U/2.U)) ), 10671ca0e4f3SYinan Xu ("sbuffer_3_4_valid ", (perf_valid_entry_count > (StoreBufferSize.U/2.U)) & (perf_valid_entry_count <= (StoreBufferSize.U*3.U/4.U))), 1068cd365d4cSrvcoresjw ("sbuffer_full_valid", (perf_valid_entry_count > (StoreBufferSize.U*3.U/4.U))) 1069cd365d4cSrvcoresjw ) 10701ca0e4f3SYinan Xu generatePerfEvent() 1071cd365d4cSrvcoresjw 1072ad3ba452Szhanglinjuan} 1073