AXI4Flash.scala (9aca92b99bc760501680614d3be4f34b46d9ed2e) | AXI4Flash.scala (3c02ee8f82edea481fa8336c7f54ffc17fafba91) |
---|---|
1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 --- 8 unchanged lines hidden (view full) --- 17package device 18 19import chisel3._ 20import chisel3.util._ 21import chipsalliance.rocketchip.config.Parameters 22import chisel3.experimental.ExtModule 23import freechips.rocketchip.diplomacy.AddressSet 24import utils._ | 1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 --- 8 unchanged lines hidden (view full) --- 17package device 18 19import chisel3._ 20import chisel3.util._ 21import chipsalliance.rocketchip.config.Parameters 22import chisel3.experimental.ExtModule 23import freechips.rocketchip.diplomacy.AddressSet 24import utils._ |
25import utility._ |
|
25 26class FlashHelper extends ExtModule with HasExtModuleInline { 27 val clk = IO(Input(Clock())) 28 val ren = IO(Input(Bool())) 29 val data = IO(Output(UInt(64.W))) 30 val addr = IO(Input(UInt(32.W))) 31 32 setInline("FlashHelper.v", --- 41 unchanged lines hidden --- | 26 27class FlashHelper extends ExtModule with HasExtModuleInline { 28 val clk = IO(Input(Clock())) 29 val ren = IO(Input(Bool())) 30 val data = IO(Output(UInt(64.W))) 31 val addr = IO(Input(UInt(32.W))) 32 33 setInline("FlashHelper.v", --- 41 unchanged lines hidden --- |