1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17006e1884SZihao Yupackage system 18006e1884SZihao Yu 198891a219SYinan Xuimport org.chipsalliance.cde.config.{Field, Parameters} 20006e1884SZihao Yuimport chisel3._ 21096ea47eSzhanglinjuanimport chisel3.util._ 228882eb68SXin Tianimport device.{DebugModule, TLPMA, TLPMAIO, AXI4MemEncrypt} 236695f071SYinan Xuimport freechips.rocketchip.amba.axi4._ 24bbe4506dSTang Haojinimport freechips.rocketchip.devices.debug.DebugModuleKey 256695f071SYinan Xuimport freechips.rocketchip.devices.tilelink._ 2673be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes} 2773be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple} 286695f071SYinan Xuimport freechips.rocketchip.regmapper.{RegField, RegFieldDesc, RegFieldGroup} 2998c71602SJiawei Linimport freechips.rocketchip.tilelink._ 308537b88aSTang Haojinimport freechips.rocketchip.util.AsyncQueueParams 3198c71602SJiawei Linimport huancun._ 326695f071SYinan Xuimport top.BusPerfMonitor 336695f071SYinan Xuimport utility.{ReqSourceKey, TLClientsMerger, TLEdgeBuffer, TLLogger} 345bd65c56STang Haojinimport xiangshan.backend.fu.{MemoryRange, PMAConfigEntry, PMAConst} 355bd65c56STang Haojinimport xiangshan.{DebugOptionsKey, PMParameKey, XSTileKey} 365c060727Ssumailyycimport coupledL2.{EnableCHI, L2Param} 378537b88aSTang Haojinimport coupledL2.tl2chi.CHIIssue 385c060727Ssumailyycimport openLLC.OpenLLCParam 39a428082bSLinJiawei 402225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters] 418882eb68SXin Tiancase object CVMParamskey extends Field[CVMParameters] 428882eb68SXin Tian 438882eb68SXin Tiancase class CVMParameters 448882eb68SXin Tian( 458882eb68SXin Tian MEMENCRange: AddressSet = AddressSet(0x38030000L, 0xfff), 468882eb68SXin Tian KeyIDBits: Int = 0, 478882eb68SXin Tian MemencPipes: Int = 4, 488882eb68SXin Tian HasMEMencryption: Boolean = false, 498882eb68SXin Tian HasDelayNoencryption: Boolean = false, // Test specific 508882eb68SXin Tian) 512225d46eSJiawei Lin 52a428082bSLinJiaweicase class SoCParameters 53a428082bSLinJiawei( 54a428082bSLinJiawei EnableILA: Boolean = false, 553ea4388cSHaoyuan Feng PAddrBits: Int = 48, 565bd65c56STang Haojin PmemRanges: Seq[MemoryRange] = Seq(MemoryRange(0x80000000L, 0x80000000000L)), 575bd65c56STang Haojin PMAConfigs: Seq[PMAConfigEntry] = Seq( 585bd65c56STang Haojin PMAConfigEntry(0x0L, range = 0x1000000000000L, a = 3), 595bd65c56STang Haojin PMAConfigEntry(0x80000000000L, c = true, atomic = true, a = 1, x = true, w = true, r = true), 605bd65c56STang Haojin PMAConfigEntry(0x80000000L, a = 1, w = true, r = true), 615bd65c56STang Haojin PMAConfigEntry(0x3A000000L, a = 1), 624c062654SAnzo PMAConfigEntry(0x39002000L, a = 1, w = true, r = true), 634c062654SAnzo PMAConfigEntry(0x39000000L, a = 1, w = true, r = true), 645bd65c56STang Haojin PMAConfigEntry(0x38022000L, a = 1, w = true, r = true), 655bd65c56STang Haojin PMAConfigEntry(0x38021000L, a = 1, x = true, w = true, r = true), 665bd65c56STang Haojin PMAConfigEntry(0x38020000L, a = 1, w = true, r = true), 675bd65c56STang Haojin PMAConfigEntry(0x30050000L, a = 1, w = true, r = true), // FIXME: GPU space is cacheable? 685bd65c56STang Haojin PMAConfigEntry(0x30010000L, a = 1, w = true, r = true), 695bd65c56STang Haojin PMAConfigEntry(0x20000000L, a = 1, x = true, w = true, r = true), 705bd65c56STang Haojin PMAConfigEntry(0x10000000L, a = 1, w = true, r = true), 715bd65c56STang Haojin PMAConfigEntry(0) 725bd65c56STang Haojin ), 73bbe4506dSTang Haojin CLINTRange: AddressSet = AddressSet(0x38000000L, CLINTConsts.size - 1), 74bbe4506dSTang Haojin BEURange: AddressSet = AddressSet(0x38010000L, 0xfff), 75bbe4506dSTang Haojin PLICRange: AddressSet = AddressSet(0x3c000000L, PLICConsts.size(PLICConsts.maxMaxHarts) - 1), 76bbe4506dSTang Haojin PLLRange: AddressSet = AddressSet(0x3a000000L, 0xfff), 77bbe4506dSTang Haojin UARTLiteForDTS: Boolean = true, // should be false in SimMMIO 78c679fdb3Srvcoresjw extIntrs: Int = 64, 79a1ea7f76SJiawei Lin L3NBanks: Int = 4, 804f94c0c6SJiawei Lin L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters( 81d2b20d1aSTang Haojin name = "L3", 82a1ea7f76SJiawei Lin level = 3, 83a1ea7f76SJiawei Lin ways = 8, 84a1ea7f76SJiawei Lin sets = 2048 // 1MB per bank 85a5b77de4STang Haojin )), 86a57c9536STang Haojin OpenLLCParamsOpt: Option[OpenLLCParam] = None, 874b40434cSzhanglinjuan XSTopPrefix: Option[String] = None, 888537b88aSTang Haojin NodeIDWidthList: Map[String, Int] = Map( 898537b88aSTang Haojin "B" -> 7, 90aad61829SMa-YX "C" -> 9, 918537b88aSTang Haojin "E.b" -> 11 928537b88aSTang Haojin ), 93007f6122SXuan Hu NumHart: Int = 64, 94007f6122SXuan Hu NumIRFiles: Int = 7, 95007f6122SXuan Hu NumIRSrc: Int = 256, 96720dd621STang Haojin UseXSNoCTop: Boolean = false, 97c33deca9Sklin02 UseXSNoCDiffTop: Boolean = false, 98ba0bece8SKamimiao UseXSTileDiffTop: Boolean = false, 99529b1cfdSTang Haojin IMSICUseTL: Boolean = false, 10016ae9ddcSTang Haojin SeperateTLBus: Boolean = false, 10116ae9ddcSTang Haojin SeperateDM: Boolean = false, // for non-XSNoCTop only, should work with SeperateTLBus 10216ae9ddcSTang Haojin SeperateTLBusRanges: Seq[AddressSet] = Seq(), 1038cfc24b2STang Haojin IMSICBusType: device.IMSICBusType.Value = device.IMSICBusType.AXI, 1048cfc24b2STang Haojin IMSICParams: aia.IMSICParams = aia.IMSICParams( 1058cfc24b2STang Haojin imsicIntSrcWidth = 8, 1068cfc24b2STang Haojin mAddr = 0x3A800000, 1078cfc24b2STang Haojin sgAddr = 0x3B000000, 1088cfc24b2STang Haojin geilen = 5, 1098cfc24b2STang Haojin vgeinWidth = 6, 1108cfc24b2STang Haojin iselectWidth = 12, 1118cfc24b2STang Haojin EnableImsicAsyncBridge = true, 1128cfc24b2STang Haojin HasTEEIMSIC = false 1138cfc24b2STang Haojin ), 11406076152Syulightenyu EnableCHIAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 16, sync = 3, safe = false)), 1154a699e27Szhanglinjuan EnableClintAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 1, sync = 3, safe = false)), 11616ae9ddcSTang Haojin SeperateTLAsyncBridge: Option[AsyncQueueParams] = Some(AsyncQueueParams(depth = 1, sync = 3, safe = false)), 1174d7fbe77Syulightenyu WFIClockGate: Boolean = false, 1184d7fbe77Syulightenyu EnablePowerDown: Boolean = false 1192225d46eSJiawei Lin){ 120a57c9536STang Haojin require( 121a57c9536STang Haojin L3CacheParamsOpt.isDefined ^ OpenLLCParamsOpt.isDefined || L3CacheParamsOpt.isEmpty && OpenLLCParamsOpt.isEmpty, 122a57c9536STang Haojin "Atmost one of L3CacheParamsOpt and OpenLLCParamsOpt should be defined" 123a57c9536STang Haojin ) 1242225d46eSJiawei Lin // L3 configurations 1252225d46eSJiawei Lin val L3InnerBusWidth = 256 1262225d46eSJiawei Lin val L3BlockSize = 64 1272225d46eSJiawei Lin // on chip network configurations 1282225d46eSJiawei Lin val L3OuterBusWidth = 256 129bbe4506dSTang Haojin val UARTLiteRange = AddressSet(0x40600000, if (UARTLiteForDTS) 0x3f else 0xf) 1302225d46eSJiawei Lin} 1312225d46eSJiawei Lin 1322225d46eSJiawei Lintrait HasSoCParameter { 1332225d46eSJiawei Lin implicit val p: Parameters 1342225d46eSJiawei Lin 1352225d46eSJiawei Lin val soc = p(SoCParamsKey) 1368882eb68SXin Tian val cvm = p(CVMParamskey) 1372225d46eSJiawei Lin val debugOpts = p(DebugOptionsKey) 13834ab1ae9SJiawei Lin val tiles = p(XSTileKey) 13978a8cd25Szhanglinjuan val enableCHI = p(EnableCHI) 1408537b88aSTang Haojin val issue = p(CHIIssue) 14134ab1ae9SJiawei Lin 14234ab1ae9SJiawei Lin val NumCores = tiles.size 143a428082bSLinJiawei val EnableILA = soc.EnableILA 1442225d46eSJiawei Lin 145725e8ddcSchengguanghui // Parameters for trace extension 146725e8ddcSchengguanghui val TraceTraceGroupNum = tiles.head.traceParams.TraceGroupNum 147725e8ddcSchengguanghui val TraceCauseWidth = tiles.head.XLEN 148551cc696Schengguanghui val TraceTvalWidth = tiles.head.traceParams.IaddrWidth 149725e8ddcSchengguanghui val TracePrivWidth = tiles.head.traceParams.PrivWidth 150551cc696Schengguanghui val TraceIaddrWidth = tiles.head.traceParams.IaddrWidth 151725e8ddcSchengguanghui val TraceItypeWidth = tiles.head.traceParams.ItypeWidth 152*a25f1ac9SGuanghui Cheng val TraceIretireWidthCompressed = log2Up(tiles.head.RenameWidth * tiles.head.CommitWidth * 2 + 1) 153725e8ddcSchengguanghui val TraceIlastsizeWidth = tiles.head.traceParams.IlastsizeWidth 154725e8ddcSchengguanghui 1552225d46eSJiawei Lin // L3 configurations 1562225d46eSJiawei Lin val L3InnerBusWidth = soc.L3InnerBusWidth 1572225d46eSJiawei Lin val L3BlockSize = soc.L3BlockSize 1582225d46eSJiawei Lin val L3NBanks = soc.L3NBanks 1592225d46eSJiawei Lin 1602225d46eSJiawei Lin // on chip network configurations 1612225d46eSJiawei Lin val L3OuterBusWidth = soc.L3OuterBusWidth 1622225d46eSJiawei Lin 1632225d46eSJiawei Lin val NrExtIntr = soc.extIntrs 164007f6122SXuan Hu 165007f6122SXuan Hu val SetIpNumValidSize = soc.NumHart * soc.NumIRFiles 166007f6122SXuan Hu 167007f6122SXuan Hu val NumIRSrc = soc.NumIRSrc 168e2725c9eSzhanglinjuan 16916ae9ddcSTang Haojin val SeperateDM = soc.SeperateDM 17016ae9ddcSTang Haojin val SeperateTLBus = soc.SeperateTLBus 17116ae9ddcSTang Haojin val SeperateTLBusRanges = soc.SeperateTLBusRanges 1724a699e27Szhanglinjuan 173e2725c9eSzhanglinjuan val EnableCHIAsyncBridge = if (enableCHI && soc.EnableCHIAsyncBridge.isDefined) 174e2725c9eSzhanglinjuan soc.EnableCHIAsyncBridge else None 175e2725c9eSzhanglinjuan val EnableClintAsyncBridge = soc.EnableClintAsyncBridge 17616ae9ddcSTang Haojin val SeperateTLAsyncBridge = if (SeperateTLBus && soc.SeperateTLAsyncBridge.isDefined) 17716ae9ddcSTang Haojin soc.SeperateTLAsyncBridge else None 17816ae9ddcSTang Haojin 17916ae9ddcSTang Haojin // seperate TL bus 18016ae9ddcSTang Haojin val EnableSeperateTLAsync = SeperateTLAsyncBridge.isDefined 1818882eb68SXin Tian 1824d7fbe77Syulightenyu val WFIClockGate = soc.WFIClockGate 1834d7fbe77Syulightenyu val EnablePowerDown = soc.EnablePowerDown 1844d7fbe77Syulightenyu 1858882eb68SXin Tian def HasMEMencryption = cvm.HasMEMencryption 1868882eb68SXin Tian require((cvm.HasMEMencryption && (cvm.KeyIDBits > 0)) || (!cvm.HasMEMencryption && (cvm.KeyIDBits == 0)), 1878882eb68SXin Tian "HasMEMencryption most set with KeyIDBits > 0") 188303b861dSZihao Yu} 189303b861dSZihao Yu 190bbe4506dSTang Haojintrait HasPeripheralRanges { 191bbe4506dSTang Haojin implicit val p: Parameters 192bbe4506dSTang Haojin 1938882eb68SXin Tian private def cvm = p(CVMParamskey) 194bbe4506dSTang Haojin private def soc = p(SoCParamsKey) 195bbe4506dSTang Haojin private def dm = p(DebugModuleKey) 196bbe4506dSTang Haojin private def pmParams = p(PMParameKey) 197bbe4506dSTang Haojin 198bbe4506dSTang Haojin private def mmpma = pmParams.mmpma 199bbe4506dSTang Haojin 200bbe4506dSTang Haojin def onChipPeripheralRanges: Map[String, AddressSet] = Map( 201bbe4506dSTang Haojin "CLINT" -> soc.CLINTRange, 202bbe4506dSTang Haojin "BEU" -> soc.BEURange, 203bbe4506dSTang Haojin "PLIC" -> soc.PLICRange, 204bbe4506dSTang Haojin "PLL" -> soc.PLLRange, 205bbe4506dSTang Haojin "UART" -> soc.UARTLiteRange, 206bbe4506dSTang Haojin "DEBUG" -> dm.get.address, 207bbe4506dSTang Haojin "MMPMA" -> AddressSet(mmpma.address, mmpma.mask) 208bbe4506dSTang Haojin ) ++ ( 209bbe4506dSTang Haojin if (soc.L3CacheParamsOpt.map(_.ctrl.isDefined).getOrElse(false)) 210bbe4506dSTang Haojin Map("L3CTL" -> AddressSet(soc.L3CacheParamsOpt.get.ctrl.get.address, 0xffff)) 211bbe4506dSTang Haojin else 212bbe4506dSTang Haojin Map() 2138882eb68SXin Tian ) ++ ( 2148882eb68SXin Tian if (cvm.HasMEMencryption) 2158882eb68SXin Tian Map("MEMENC" -> cvm.MEMENCRange) 2168882eb68SXin Tian else 2178882eb68SXin Tian Map() 218bbe4506dSTang Haojin ) 219bbe4506dSTang Haojin 220bbe4506dSTang Haojin def peripheralRange = onChipPeripheralRanges.values.foldLeft(Seq(AddressSet(0x0, 0x7fffffffL))) { (acc, x) => 221bbe4506dSTang Haojin acc.flatMap(_.subtract(x)) 222bbe4506dSTang Haojin } 223bbe4506dSTang Haojin} 224bbe4506dSTang Haojin 2251e3fad10SLinJiaweiclass ILABundle extends Bundle {} 226303b861dSZihao Yu 2273e586e47Slinjiawei 228bbe4506dSTang Haojinabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter with HasPeripheralRanges { 22978a8cd25Szhanglinjuan val bankedNode = Option.when(!enableCHI)(BankBinder(L3NBanks, L3BlockSize)) 23078a8cd25Szhanglinjuan val peripheralXbar = Option.when(!enableCHI)(TLXbar()) 2311bf9a05aSzhanglinjuan val l3_xbar = Option.when(!enableCHI)(TLXbar()) 2321bf9a05aSzhanglinjuan val l3_banked_xbar = Option.when(!enableCHI)(TLXbar()) 23378a8cd25Szhanglinjuan 2341bf9a05aSzhanglinjuan val soc_xbar = Option.when(enableCHI)(AXI4Xbar()) 2353e586e47Slinjiawei} 2363e586e47Slinjiawei 23773be64b3SJiawei Lin// We adapt the following three traits from rocket-chip. 23873be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala 23973be64b3SJiawei Lintrait HaveSlaveAXI4Port { 24073be64b3SJiawei Lin this: BaseSoC => 2419637c0c6SLinJiawei 24273be64b3SJiawei Lin val idBits = 14 24373be64b3SJiawei Lin 24473be64b3SJiawei Lin val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters( 24573be64b3SJiawei Lin Seq(AXI4MasterParameters( 24673be64b3SJiawei Lin name = "dma", 24773be64b3SJiawei Lin id = IdRange(0, 1 << idBits) 24873be64b3SJiawei Lin )) 24973be64b3SJiawei Lin ))) 2501bf9a05aSzhanglinjuan 2511bf9a05aSzhanglinjuan if (l3_xbar.isDefined) { 2521bf9a05aSzhanglinjuan val errorDevice = LazyModule(new TLError( 25373be64b3SJiawei Lin params = DevNullParams( 25473be64b3SJiawei Lin address = Seq(AddressSet(0x0, 0x7fffffffL)), 25573be64b3SJiawei Lin maxAtomic = 8, 25673be64b3SJiawei Lin maxTransfer = 64), 25773be64b3SJiawei Lin beatBytes = L3InnerBusWidth / 8 25873be64b3SJiawei Lin )) 2591bf9a05aSzhanglinjuan errorDevice.node := 2601bf9a05aSzhanglinjuan l3_xbar.get := 26173be64b3SJiawei Lin TLFIFOFixer() := 26208bf93ffSrvcoresjw TLWidthWidget(32) := 26373be64b3SJiawei Lin AXI4ToTL() := 26473be64b3SJiawei Lin AXI4UserYanker(Some(1)) := 26573be64b3SJiawei Lin AXI4Fragmenter() := 266be340b14SJiawei Lin AXI4Buffer() := 267be340b14SJiawei Lin AXI4Buffer() := 26873be64b3SJiawei Lin AXI4IdIndexer(1) := 26973be64b3SJiawei Lin l3FrontendAXI4Node 2701bf9a05aSzhanglinjuan } 27173be64b3SJiawei Lin 27273be64b3SJiawei Lin val dma = InModuleBody { 27373be64b3SJiawei Lin l3FrontendAXI4Node.makeIOs() 27473be64b3SJiawei Lin } 27573be64b3SJiawei Lin} 27673be64b3SJiawei Lin 27773be64b3SJiawei Lintrait HaveAXI4MemPort { 27873be64b3SJiawei Lin this: BaseSoC => 27973be64b3SJiawei Lin val device = new MemoryDevice 2803ea4388cSHaoyuan Feng // 48-bit physical address 2813ea4388cSHaoyuan Feng val memRange = AddressSet(0x00000000L, 0xffffffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL)) 28273be64b3SJiawei Lin val memAXI4SlaveNode = AXI4SlaveNode(Seq( 28373be64b3SJiawei Lin AXI4SlavePortParameters( 28473be64b3SJiawei Lin slaves = Seq( 28573be64b3SJiawei Lin AXI4SlaveParameters( 28673be64b3SJiawei Lin address = memRange, 28773be64b3SJiawei Lin regionType = RegionType.UNCACHED, 28873be64b3SJiawei Lin executable = true, 28973be64b3SJiawei Lin supportsRead = TransferSizes(1, L3BlockSize), 29073be64b3SJiawei Lin supportsWrite = TransferSizes(1, L3BlockSize), 29173be64b3SJiawei Lin interleavedId = Some(0), 29273be64b3SJiawei Lin resources = device.reg("mem") 2930584d3a8SLinJiawei ) 29473be64b3SJiawei Lin ), 2956695f071SYinan Xu beatBytes = L3OuterBusWidth / 8, 2966695f071SYinan Xu requestKeys = if (debugOpts.FPGAPlatform) Seq() else Seq(ReqSourceKey), 29773be64b3SJiawei Lin ) 29873be64b3SJiawei Lin )) 29973be64b3SJiawei Lin 30073be64b3SJiawei Lin val mem_xbar = TLXbar() 30178a8cd25Szhanglinjuan val l3_mem_pmu = BusPerfMonitor(name = "L3_Mem", enable = !debugOpts.FPGAPlatform && !enableCHI, stat_latency = true) 30278a8cd25Szhanglinjuan val axi4mem_node = AXI4IdentityNode() 30378a8cd25Szhanglinjuan 30478a8cd25Szhanglinjuan if (enableCHI) { 30578a8cd25Szhanglinjuan axi4mem_node := 3061bf9a05aSzhanglinjuan soc_xbar.get 30778a8cd25Szhanglinjuan } else { 30829230e82SJiawei Lin mem_xbar :=* 309d2b20d1aSTang Haojin TLBuffer.chainNode(2) := 310d2b20d1aSTang Haojin TLCacheCork() := 311d2b20d1aSTang Haojin l3_mem_pmu := 312d2b20d1aSTang Haojin TLClientsMerger() := 31329230e82SJiawei Lin TLXbar() :=* 31478a8cd25Szhanglinjuan bankedNode.get 31529230e82SJiawei Lin 31629230e82SJiawei Lin mem_xbar := 31729230e82SJiawei Lin TLWidthWidget(8) := 318b7291c09SJiawei Lin TLBuffer.chainNode(3, name = Some("PeripheralXbar_to_MemXbar_buffer")) := 31978a8cd25Szhanglinjuan peripheralXbar.get 32078a8cd25Szhanglinjuan 32178a8cd25Szhanglinjuan axi4mem_node := 32278a8cd25Szhanglinjuan TLToAXI4() := 32378a8cd25Szhanglinjuan TLSourceShrinker(64) := 32478a8cd25Szhanglinjuan TLWidthWidget(L3OuterBusWidth / 8) := 32578a8cd25Szhanglinjuan TLBuffer.chainNode(2) := 32678a8cd25Szhanglinjuan mem_xbar 32778a8cd25Szhanglinjuan } 3288882eb68SXin Tian val axi4memencrpty = Option.when(HasMEMencryption)(LazyModule(new AXI4MemEncrypt(cvm.MEMENCRange))) 3298882eb68SXin Tian if (HasMEMencryption) { 3308882eb68SXin Tian memAXI4SlaveNode := 3318882eb68SXin Tian AXI4Buffer() := 3328882eb68SXin Tian AXI4Buffer() := 3338882eb68SXin Tian AXI4Buffer() := 3348882eb68SXin Tian AXI4IdIndexer(idBits = 14) := 3358882eb68SXin Tian AXI4UserYanker() := 3368882eb68SXin Tian axi4memencrpty.get.node 33729230e82SJiawei Lin 3388882eb68SXin Tian axi4memencrpty.get.node := 3398882eb68SXin Tian AXI4Deinterleaver(L3BlockSize) := 3408882eb68SXin Tian axi4mem_node 3418882eb68SXin Tian } else { 34229230e82SJiawei Lin memAXI4SlaveNode := 343be340b14SJiawei Lin AXI4Buffer() := 344acc88887SJiawei Lin AXI4Buffer() := 345acc88887SJiawei Lin AXI4Buffer() := 34608bf93ffSrvcoresjw AXI4IdIndexer(idBits = 14) := 34773be64b3SJiawei Lin AXI4UserYanker() := 34873be64b3SJiawei Lin AXI4Deinterleaver(L3BlockSize) := 34978a8cd25Szhanglinjuan axi4mem_node 3508882eb68SXin Tian } 3518882eb68SXin Tian 35273be64b3SJiawei Lin 35373be64b3SJiawei Lin val memory = InModuleBody { 35473be64b3SJiawei Lin memAXI4SlaveNode.makeIOs() 35573be64b3SJiawei Lin } 35673be64b3SJiawei Lin} 35773be64b3SJiawei Lin 35873be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC => 35973be64b3SJiawei Lin val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite")) 36073be64b3SJiawei Lin val uartParams = AXI4SlaveParameters( 361bbe4506dSTang Haojin address = Seq(soc.UARTLiteRange), 36273be64b3SJiawei Lin regionType = RegionType.UNCACHED, 36378a8cd25Szhanglinjuan supportsRead = TransferSizes(1, 32), 36478a8cd25Szhanglinjuan supportsWrite = TransferSizes(1, 32), 36573be64b3SJiawei Lin resources = uartDevice.reg 36673be64b3SJiawei Lin ) 36773be64b3SJiawei Lin val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters( 36873be64b3SJiawei Lin Seq(AXI4SlaveParameters( 36973be64b3SJiawei Lin address = peripheralRange, 37073be64b3SJiawei Lin regionType = RegionType.UNCACHED, 37178a8cd25Szhanglinjuan supportsRead = TransferSizes(1, 32), 37278a8cd25Szhanglinjuan supportsWrite = TransferSizes(1, 32), 37373be64b3SJiawei Lin interleavedId = Some(0) 37473be64b3SJiawei Lin ), uartParams), 37573be64b3SJiawei Lin beatBytes = 8 37673be64b3SJiawei Lin ))) 37778a8cd25Szhanglinjuan 37878a8cd25Szhanglinjuan val axi4peripheral_node = AXI4IdentityNode() 3791bf9a05aSzhanglinjuan val error_xbar = Option.when(enableCHI)(TLXbar()) 38073be64b3SJiawei Lin 38173be64b3SJiawei Lin peripheralNode := 3829eca914aSYuan Yuchong AXI4UserYanker() := 3839eca914aSYuan Yuchong AXI4IdIndexer(idBits = 2) := 38459239bc9SJiawei Lin AXI4Buffer() := 38559239bc9SJiawei Lin AXI4Buffer() := 386be340b14SJiawei Lin AXI4Buffer() := 387be340b14SJiawei Lin AXI4Buffer() := 38873be64b3SJiawei Lin AXI4UserYanker() := 38978a8cd25Szhanglinjuan // AXI4Deinterleaver(8) := 39078a8cd25Szhanglinjuan axi4peripheral_node 39178a8cd25Szhanglinjuan 39278a8cd25Szhanglinjuan if (enableCHI) { 3931bf9a05aSzhanglinjuan val error = LazyModule(new TLError( 3941bf9a05aSzhanglinjuan params = DevNullParams( 3953ea4388cSHaoyuan Feng address = Seq(AddressSet(0x1000000000000L, 0xffffffffffffL)), 3961bf9a05aSzhanglinjuan maxAtomic = 8, 3971bf9a05aSzhanglinjuan maxTransfer = 64), 3981bf9a05aSzhanglinjuan beatBytes = 8 3991bf9a05aSzhanglinjuan )) 4001bf9a05aSzhanglinjuan error.node := error_xbar.get 40178a8cd25Szhanglinjuan axi4peripheral_node := 40278a8cd25Szhanglinjuan AXI4Deinterleaver(8) := 40378a8cd25Szhanglinjuan TLToAXI4() := 4041bf9a05aSzhanglinjuan error_xbar.get := 40596d2b585Szhanglinjuan TLBuffer.chainNode(2, Some("llc_to_peripheral_buffer")) := 40678a8cd25Szhanglinjuan TLFIFOFixer() := 40778a8cd25Szhanglinjuan TLWidthWidget(L3OuterBusWidth / 8) := 40878a8cd25Szhanglinjuan AXI4ToTL() := 40978a8cd25Szhanglinjuan AXI4UserYanker() := 4101bf9a05aSzhanglinjuan soc_xbar.get 41178a8cd25Szhanglinjuan } else { 41278a8cd25Szhanglinjuan axi4peripheral_node := 41373be64b3SJiawei Lin AXI4Deinterleaver(8) := 41473be64b3SJiawei Lin TLToAXI4() := 415acc88887SJiawei Lin TLBuffer.chainNode(3) := 41678a8cd25Szhanglinjuan peripheralXbar.get 41778a8cd25Szhanglinjuan } 41873be64b3SJiawei Lin 41973be64b3SJiawei Lin val peripheral = InModuleBody { 42073be64b3SJiawei Lin peripheralNode.makeIOs() 42173be64b3SJiawei Lin } 42273be64b3SJiawei Lin 42373be64b3SJiawei Lin} 42473be64b3SJiawei Lin 4254b40434cSzhanglinjuanclass MemMisc()(implicit p: Parameters) extends BaseSoC 42673be64b3SJiawei Lin with HaveAXI4MemPort 42798c71602SJiawei Lin with PMAConst 42878a8cd25Szhanglinjuan with HaveAXI4PeripheralPort 42973be64b3SJiawei Lin{ 4304b40434cSzhanglinjuan 43178a8cd25Szhanglinjuan val peripheral_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() }) 43278a8cd25Szhanglinjuan val core_to_l3_ports = Option.when(!enableCHI)(Array.fill(NumCores) { TLTempNode() }) 43373be64b3SJiawei Lin 43473be64b3SJiawei Lin val l3_in = TLTempNode() 43573be64b3SJiawei Lin val l3_out = TLTempNode() 43673be64b3SJiawei Lin 4371bf9a05aSzhanglinjuan val device_xbar = Option.when(enableCHI)(TLXbar()) 4381bf9a05aSzhanglinjuan device_xbar.foreach(_ := error_xbar.get) 43978a8cd25Szhanglinjuan 4401bf9a05aSzhanglinjuan if (l3_banked_xbar.isDefined) { 4411bf9a05aSzhanglinjuan l3_in :*= TLEdgeBuffer(_ => true, Some("L3_in_buffer")) :*= l3_banked_xbar.get 4421bf9a05aSzhanglinjuan l3_banked_xbar.get := TLBuffer.chainNode(2) := l3_xbar.get 4431bf9a05aSzhanglinjuan } 44478a8cd25Szhanglinjuan bankedNode match { 44578a8cd25Szhanglinjuan case Some(bankBinder) => 44678a8cd25Szhanglinjuan bankBinder :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :*= l3_out 44778a8cd25Szhanglinjuan case None => 44878a8cd25Szhanglinjuan } 44973be64b3SJiawei Lin 45073be64b3SJiawei Lin if(soc.L3CacheParamsOpt.isEmpty){ 45173be64b3SJiawei Lin l3_out :*= l3_in 45273be64b3SJiawei Lin } 45373be64b3SJiawei Lin 45478a8cd25Szhanglinjuan if (!enableCHI) { 45578a8cd25Szhanglinjuan for (port <- peripheral_ports.get) { 45678a8cd25Szhanglinjuan peripheralXbar.get := TLBuffer.chainNode(2, Some("L2_to_L3_peripheral_buffer")) := port 45778a8cd25Szhanglinjuan } 45873be64b3SJiawei Lin } 45973be64b3SJiawei Lin 4604b40434cSzhanglinjuan core_to_l3_ports.foreach { case _ => 4614b40434cSzhanglinjuan for ((core_out, i) <- core_to_l3_ports.get.zipWithIndex){ 4621bf9a05aSzhanglinjuan l3_banked_xbar.get :=* 46362129679Swakafa TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform && debugOpts.AlwaysBasicDB) :=* 46459239bc9SJiawei Lin TLBuffer() := 46559239bc9SJiawei Lin core_out 46673be64b3SJiawei Lin } 4674b40434cSzhanglinjuan } 46878a8cd25Szhanglinjuan 469bbe4506dSTang Haojin val clint = LazyModule(new CLINT(CLINTParams(soc.CLINTRange.base), 8)) 4701bf9a05aSzhanglinjuan if (enableCHI) { clint.node := device_xbar.get } 47178a8cd25Szhanglinjuan else { clint.node := peripheralXbar.get } 47273be64b3SJiawei Lin 47373be64b3SJiawei Lin class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule { 47473be64b3SJiawei Lin val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1)) 475935edac4STang Haojin class IntSourceNodeToModuleImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 47673be64b3SJiawei Lin val in = IO(Input(Vec(num, Bool()))) 47773be64b3SJiawei Lin in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i } 47873be64b3SJiawei Lin } 479935edac4STang Haojin lazy val module = new IntSourceNodeToModuleImp(this) 48073be64b3SJiawei Lin } 48173be64b3SJiawei Lin 482bbe4506dSTang Haojin val plic = LazyModule(new TLPLIC(PLICParams(soc.PLICRange.base), 8)) 48373be64b3SJiawei Lin val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr)) 48473be64b3SJiawei Lin 48573be64b3SJiawei Lin plic.intnode := plicSource.sourceNode 4861bf9a05aSzhanglinjuan if (enableCHI) { plic.node := device_xbar.get } 48778a8cd25Szhanglinjuan else { plic.node := peripheralXbar.get } 48873be64b3SJiawei Lin 48934ab1ae9SJiawei Lin val pll_node = TLRegisterNode( 490bbe4506dSTang Haojin address = Seq(soc.PLLRange), 49134ab1ae9SJiawei Lin device = new SimpleDevice("pll_ctrl", Seq()), 49234ab1ae9SJiawei Lin beatBytes = 8, 49334ab1ae9SJiawei Lin concurrency = 1 49434ab1ae9SJiawei Lin ) 4951bf9a05aSzhanglinjuan if (enableCHI) { pll_node := device_xbar.get } 49678a8cd25Szhanglinjuan else { pll_node := peripheralXbar.get } 49734ab1ae9SJiawei Lin 49873be64b3SJiawei Lin val debugModule = LazyModule(new DebugModule(NumCores)(p)) 49916ae9ddcSTang Haojin val debugModuleXbarOpt = Option.when(SeperateDM)(TLXbar()) 50078a8cd25Szhanglinjuan if (enableCHI) { 50116ae9ddcSTang Haojin if (SeperateDM) { 5024a699e27Szhanglinjuan debugModule.debug.node := debugModuleXbarOpt.get 5034a699e27Szhanglinjuan } else { 5041bf9a05aSzhanglinjuan debugModule.debug.node := device_xbar.get 5054a699e27Szhanglinjuan } 50678a8cd25Szhanglinjuan debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl => 5071bf9a05aSzhanglinjuan error_xbar.get := sb2tl.node 50878a8cd25Szhanglinjuan } 50978a8cd25Szhanglinjuan } else { 51016ae9ddcSTang Haojin if (SeperateDM) { 5114a699e27Szhanglinjuan debugModule.debug.node := debugModuleXbarOpt.get 5124a699e27Szhanglinjuan } else { 51378a8cd25Szhanglinjuan debugModule.debug.node := peripheralXbar.get 5144a699e27Szhanglinjuan } 51573be64b3SJiawei Lin debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl => 51676ed5703Schengguanghui l3_xbar.get := TLBuffer() := TLWidthWidget(1) := sb2tl.node 51773be64b3SJiawei Lin } 51878a8cd25Szhanglinjuan } 51973be64b3SJiawei Lin 52098c71602SJiawei Lin val pma = LazyModule(new TLPMA) 52178a8cd25Szhanglinjuan if (enableCHI) { 5221bf9a05aSzhanglinjuan pma.node := TLBuffer.chainNode(4) := device_xbar.get 5238882eb68SXin Tian if (HasMEMencryption) { 5248882eb68SXin Tian axi4memencrpty.get.ctrl_node := TLToAPB() := device_xbar.get 5258882eb68SXin Tian } 52678a8cd25Szhanglinjuan } else { 52778a8cd25Szhanglinjuan pma.node := TLBuffer.chainNode(4) := peripheralXbar.get 5288882eb68SXin Tian if (HasMEMencryption) { 5298882eb68SXin Tian axi4memencrpty.get.ctrl_node := TLToAPB() := peripheralXbar.get 5308882eb68SXin Tian } 53178a8cd25Szhanglinjuan } 53298c71602SJiawei Lin 533935edac4STang Haojin class SoCMiscImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) { 53473be64b3SJiawei Lin 535935edac4STang Haojin val debug_module_io = IO(new debugModule.DebugModuleIO) 53673be64b3SJiawei Lin val ext_intrs = IO(Input(UInt(NrExtIntr.W))) 5379e56439dSHazard val rtc_clock = IO(Input(Bool())) 53834ab1ae9SJiawei Lin val pll0_lock = IO(Input(Bool())) 53934ab1ae9SJiawei Lin val pll0_ctrl = IO(Output(Vec(6, UInt(32.W)))) 54098c71602SJiawei Lin val cacheable_check = IO(new TLPMAIO) 5413bf5eac7SXuan Hu val clintTime = IO(Output(ValidIO(UInt(64.W)))) 54273be64b3SJiawei Lin 54373be64b3SJiawei Lin debugModule.module.io <> debug_module_io 5449b4044e7SYinan Xu 5459b4044e7SYinan Xu // sync external interrupts 5469b4044e7SYinan Xu require(plicSource.module.in.length == ext_intrs.getWidth) 5479b4044e7SYinan Xu for ((plic_in, interrupt) <- plicSource.module.in.zip(ext_intrs.asBools)) { 5489b4044e7SYinan Xu val ext_intr_sync = RegInit(0.U(3.W)) 5499b4044e7SYinan Xu ext_intr_sync := Cat(ext_intr_sync(1, 0), interrupt) 550e5c40982SYinan Xu plic_in := ext_intr_sync(2) 5519b4044e7SYinan Xu } 5529e56439dSHazard 55398c71602SJiawei Lin pma.module.io <> cacheable_check 55473be64b3SJiawei Lin 5558882eb68SXin Tian if (HasMEMencryption) { 5568882eb68SXin Tian val cnt = Counter(true.B, 8)._1 5578882eb68SXin Tian axi4memencrpty.get.module.io.random_val := axi4memencrpty.get.module.io.random_req && cnt(2).asBool 5588882eb68SXin Tian axi4memencrpty.get.module.io.random_data := cnt(0).asBool 5598882eb68SXin Tian } 56088ca983fSYinan Xu // positive edge sampling of the lower-speed rtc_clock 56188ca983fSYinan Xu val rtcTick = RegInit(0.U(3.W)) 56288ca983fSYinan Xu rtcTick := Cat(rtcTick(1, 0), rtc_clock) 56388ca983fSYinan Xu clint.module.io.rtcTick := rtcTick(1) && !rtcTick(2) 56488ca983fSYinan Xu 56534ab1ae9SJiawei Lin val pll_ctrl_regs = Seq.fill(6){ RegInit(0.U(32.W)) } 56634ab1ae9SJiawei Lin val pll_lock = RegNext(next = pll0_lock, init = false.B) 56734ab1ae9SJiawei Lin 5683bf5eac7SXuan Hu clintTime := clint.module.io.time 5693bf5eac7SXuan Hu 57034ab1ae9SJiawei Lin pll0_ctrl <> VecInit(pll_ctrl_regs) 57134ab1ae9SJiawei Lin 57234ab1ae9SJiawei Lin pll_node.regmap( 57334ab1ae9SJiawei Lin 0x000 -> RegFieldGroup( 57434ab1ae9SJiawei Lin "Pll", Some("PLL ctrl regs"), 57534ab1ae9SJiawei Lin pll_ctrl_regs.zipWithIndex.map{ 57634ab1ae9SJiawei Lin case (r, i) => RegField(32, r, RegFieldDesc( 57734ab1ae9SJiawei Lin s"PLL_ctrl_$i", 57834ab1ae9SJiawei Lin desc = s"PLL ctrl register #$i" 57934ab1ae9SJiawei Lin )) 58034ab1ae9SJiawei Lin } :+ RegField.r(32, Cat(0.U(31.W), pll_lock), RegFieldDesc( 58134ab1ae9SJiawei Lin "PLL_lock", 58234ab1ae9SJiawei Lin "PLL lock register" 58334ab1ae9SJiawei Lin )) 58434ab1ae9SJiawei Lin ) 58534ab1ae9SJiawei Lin ) 58673be64b3SJiawei Lin } 587935edac4STang Haojin 588935edac4STang Haojin lazy val module = new SoCMiscImp(this) 5890584d3a8SLinJiawei} 59078a8cd25Szhanglinjuan 5914b40434cSzhanglinjuanclass SoCMisc()(implicit p: Parameters) extends MemMisc 5924b40434cSzhanglinjuan with HaveSlaveAXI4Port 5934b40434cSzhanglinjuan 594