xref: /XiangShan/src/main/scala/system/SoC.scala (revision c679fdb3e06d28640309a8c9a3da397a89cf624c)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17006e1884SZihao Yupackage system
18006e1884SZihao Yu
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.{Field, Parameters}
20006e1884SZihao Yuimport chisel3._
21096ea47eSzhanglinjuanimport chisel3.util._
2273be64b3SJiawei Linimport device.DebugModule
23496c0adfSJiawei Linimport freechips.rocketchip.amba.axi4.{AXI4Buffer, AXI4Deinterleaver, AXI4Fragmenter, AXI4IdIndexer, AXI4MasterNode, AXI4MasterParameters, AXI4MasterPortParameters, AXI4SlaveNode, AXI4SlaveParameters, AXI4SlavePortParameters, AXI4ToTL, AXI4UserYanker}
2473be64b3SJiawei Linimport freechips.rocketchip.devices.tilelink.{CLINT, CLINTParams, DevNullParams, PLICParams, TLError, TLPLIC}
2573be64b3SJiawei Linimport freechips.rocketchip.diplomacy.{AddressSet, IdRange, InModuleBody, LazyModule, LazyModuleImp, MemoryDevice, RegionType, SimpleDevice, TransferSizes}
2673be64b3SJiawei Linimport freechips.rocketchip.interrupts.{IntSourceNode, IntSourcePortSimple}
2734ab1ae9SJiawei Linimport freechips.rocketchip.regmapper.{RegField, RegFieldAccessType, RegFieldDesc, RegFieldGroup}
2834ab1ae9SJiawei Linimport xiangshan.{DebugOptionsKey, HasXSParameter, XSBundle, XSCore, XSCoreParameters, XSTileKey}
290584d3a8SLinJiaweiimport freechips.rocketchip.tile.{BusErrorUnit, BusErrorUnitParams, BusErrors, L1BusErrors}
3034ab1ae9SJiawei Linimport freechips.rocketchip.tilelink.{BankBinder, TLBuffer, TLCacheCork, TLFIFOFixer, TLRegisterNode, TLTempNode, TLToAXI4, TLWidthWidget, TLXbar}
3173be64b3SJiawei Linimport huancun.debug.TLLogger
3234ab1ae9SJiawei Linimport huancun.{BankedXbar, CacheParameters, HCCacheParameters}
3373be64b3SJiawei Linimport top.BusPerfMonitor
3429230e82SJiawei Linimport utils.{BinaryArbiter, TLEdgeBuffer}
35a428082bSLinJiawei
362225d46eSJiawei Lincase object SoCParamsKey extends Field[SoCParameters]
372225d46eSJiawei Lin
38a428082bSLinJiaweicase class SoCParameters
39a428082bSLinJiawei(
40a428082bSLinJiawei  EnableILA: Boolean = false,
412f30d658SYinan Xu  PAddrBits: Int = 36,
42*c679fdb3Srvcoresjw  extIntrs: Int = 64,
43a1ea7f76SJiawei Lin  L3NBanks: Int = 4,
444f94c0c6SJiawei Lin  L3CacheParamsOpt: Option[HCCacheParameters] = Some(HCCacheParameters(
45a1ea7f76SJiawei Lin    name = "l3",
46a1ea7f76SJiawei Lin    level = 3,
47a1ea7f76SJiawei Lin    ways = 8,
48a1ea7f76SJiawei Lin    sets = 2048 // 1MB per bank
494f94c0c6SJiawei Lin  ))
502225d46eSJiawei Lin){
512225d46eSJiawei Lin  // L3 configurations
522225d46eSJiawei Lin  val L3InnerBusWidth = 256
532225d46eSJiawei Lin  val L3BlockSize = 64
542225d46eSJiawei Lin  // on chip network configurations
552225d46eSJiawei Lin  val L3OuterBusWidth = 256
562225d46eSJiawei Lin}
572225d46eSJiawei Lin
582225d46eSJiawei Lintrait HasSoCParameter {
592225d46eSJiawei Lin  implicit val p: Parameters
602225d46eSJiawei Lin
612225d46eSJiawei Lin  val soc = p(SoCParamsKey)
622225d46eSJiawei Lin  val debugOpts = p(DebugOptionsKey)
6334ab1ae9SJiawei Lin  val tiles = p(XSTileKey)
6434ab1ae9SJiawei Lin
6534ab1ae9SJiawei Lin  val NumCores = tiles.size
66a428082bSLinJiawei  val EnableILA = soc.EnableILA
672225d46eSJiawei Lin
682225d46eSJiawei Lin  // L3 configurations
692225d46eSJiawei Lin  val L3InnerBusWidth = soc.L3InnerBusWidth
702225d46eSJiawei Lin  val L3BlockSize = soc.L3BlockSize
712225d46eSJiawei Lin  val L3NBanks = soc.L3NBanks
722225d46eSJiawei Lin
732225d46eSJiawei Lin  // on chip network configurations
742225d46eSJiawei Lin  val L3OuterBusWidth = soc.L3OuterBusWidth
752225d46eSJiawei Lin
762225d46eSJiawei Lin  val NrExtIntr = soc.extIntrs
77303b861dSZihao Yu}
78303b861dSZihao Yu
791e3fad10SLinJiaweiclass ILABundle extends Bundle {}
80303b861dSZihao Yu
813e586e47Slinjiawei
8273be64b3SJiawei Linabstract class BaseSoC()(implicit p: Parameters) extends LazyModule with HasSoCParameter {
8373be64b3SJiawei Lin  val bankedNode = BankBinder(L3NBanks, L3BlockSize)
8473be64b3SJiawei Lin  val peripheralXbar = TLXbar()
8573be64b3SJiawei Lin  val l3_xbar = TLXbar()
8634ab1ae9SJiawei Lin  val l3_banked_xbar = BankedXbar(tiles.head.L2NBanks)
873e586e47Slinjiawei}
883e586e47Slinjiawei
8973be64b3SJiawei Lin// We adapt the following three traits from rocket-chip.
9073be64b3SJiawei Lin// Source: rocket-chip/src/main/scala/subsystem/Ports.scala
9173be64b3SJiawei Lintrait HaveSlaveAXI4Port {
9273be64b3SJiawei Lin  this: BaseSoC =>
939637c0c6SLinJiawei
9473be64b3SJiawei Lin  val idBits = 14
9573be64b3SJiawei Lin
9673be64b3SJiawei Lin  val l3FrontendAXI4Node = AXI4MasterNode(Seq(AXI4MasterPortParameters(
9773be64b3SJiawei Lin    Seq(AXI4MasterParameters(
9873be64b3SJiawei Lin      name = "dma",
9973be64b3SJiawei Lin      id = IdRange(0, 1 << idBits)
10073be64b3SJiawei Lin    ))
10173be64b3SJiawei Lin  )))
10273be64b3SJiawei Lin  private val errorDevice = LazyModule(new TLError(
10373be64b3SJiawei Lin    params = DevNullParams(
10473be64b3SJiawei Lin      address = Seq(AddressSet(0x0, 0x7fffffffL)),
10573be64b3SJiawei Lin      maxAtomic = 8,
10673be64b3SJiawei Lin      maxTransfer = 64),
10773be64b3SJiawei Lin    beatBytes = L3InnerBusWidth / 8
10873be64b3SJiawei Lin  ))
10973be64b3SJiawei Lin  private val error_xbar = TLXbar()
11073be64b3SJiawei Lin
11173be64b3SJiawei Lin  error_xbar :=
11273be64b3SJiawei Lin    TLFIFOFixer() :=
11373be64b3SJiawei Lin    TLWidthWidget(16) :=
11473be64b3SJiawei Lin    AXI4ToTL() :=
11573be64b3SJiawei Lin    AXI4UserYanker(Some(1)) :=
11673be64b3SJiawei Lin    AXI4Fragmenter() :=
11773be64b3SJiawei Lin    AXI4IdIndexer(1) :=
11873be64b3SJiawei Lin    l3FrontendAXI4Node
11973be64b3SJiawei Lin  errorDevice.node := error_xbar
12073be64b3SJiawei Lin  l3_xbar :=
12173be64b3SJiawei Lin    TLBuffer() :=
12273be64b3SJiawei Lin    error_xbar
12373be64b3SJiawei Lin
12473be64b3SJiawei Lin  val dma = InModuleBody {
12573be64b3SJiawei Lin    l3FrontendAXI4Node.makeIOs()
12673be64b3SJiawei Lin  }
12773be64b3SJiawei Lin}
12873be64b3SJiawei Lin
12973be64b3SJiawei Lintrait HaveAXI4MemPort {
13073be64b3SJiawei Lin  this: BaseSoC =>
13173be64b3SJiawei Lin  val device = new MemoryDevice
1322f30d658SYinan Xu  // 36-bit physical address
1332f30d658SYinan Xu  val memRange = AddressSet(0x00000000L, 0xfffffffffL).subtract(AddressSet(0x0L, 0x7fffffffL))
13473be64b3SJiawei Lin  val memAXI4SlaveNode = AXI4SlaveNode(Seq(
13573be64b3SJiawei Lin    AXI4SlavePortParameters(
13673be64b3SJiawei Lin      slaves = Seq(
13773be64b3SJiawei Lin        AXI4SlaveParameters(
13873be64b3SJiawei Lin          address = memRange,
13973be64b3SJiawei Lin          regionType = RegionType.UNCACHED,
14073be64b3SJiawei Lin          executable = true,
14173be64b3SJiawei Lin          supportsRead = TransferSizes(1, L3BlockSize),
14273be64b3SJiawei Lin          supportsWrite = TransferSizes(1, L3BlockSize),
14373be64b3SJiawei Lin          interleavedId = Some(0),
14473be64b3SJiawei Lin          resources = device.reg("mem")
1450584d3a8SLinJiawei        )
14673be64b3SJiawei Lin      ),
14773be64b3SJiawei Lin      beatBytes = L3OuterBusWidth / 8
14873be64b3SJiawei Lin    )
14973be64b3SJiawei Lin  ))
15073be64b3SJiawei Lin
15173be64b3SJiawei Lin  val mem_xbar = TLXbar()
15229230e82SJiawei Lin  mem_xbar :=*
15329230e82SJiawei Lin    TLXbar() :=*
15429230e82SJiawei Lin    TLEdgeBuffer(i => i == 0, Some("L3EdgeBuffer_1")) :=*
15529230e82SJiawei Lin    BinaryArbiter() :=*
15629230e82SJiawei Lin    TLEdgeBuffer(i => i == 0, Some("L3EdgeBuffer_0")) :=*
15729230e82SJiawei Lin    TLCacheCork() :=*
15829230e82SJiawei Lin    bankedNode
15929230e82SJiawei Lin
16029230e82SJiawei Lin  mem_xbar :=
16129230e82SJiawei Lin    TLWidthWidget(8) :=
16229230e82SJiawei Lin    TLBuffer.chainNode(5, name = Some("PeripheralXbar_to_MemXbar_buffer")) :=
16329230e82SJiawei Lin    peripheralXbar
16429230e82SJiawei Lin
16529230e82SJiawei Lin  memAXI4SlaveNode :=
16673be64b3SJiawei Lin    AXI4UserYanker() :=
16773be64b3SJiawei Lin    AXI4Deinterleaver(L3BlockSize) :=
16873be64b3SJiawei Lin    TLToAXI4() :=
16973be64b3SJiawei Lin    TLWidthWidget(L3OuterBusWidth / 8) :=
17029230e82SJiawei Lin    TLEdgeBuffer(_ => true, Some("MemXbar_to_DDR_buffer")) :=
17173be64b3SJiawei Lin    mem_xbar
17273be64b3SJiawei Lin
17373be64b3SJiawei Lin  val memory = InModuleBody {
17473be64b3SJiawei Lin    memAXI4SlaveNode.makeIOs()
17573be64b3SJiawei Lin  }
17673be64b3SJiawei Lin}
17773be64b3SJiawei Lin
17873be64b3SJiawei Lintrait HaveAXI4PeripheralPort { this: BaseSoC =>
17973be64b3SJiawei Lin  // on-chip devices: 0x3800_0000 - 0x3fff_ffff 0x0000_0000 - 0x0000_0fff
18073be64b3SJiawei Lin  val onChipPeripheralRange = AddressSet(0x38000000L, 0x07ffffffL)
18173be64b3SJiawei Lin  val uartRange = AddressSet(0x40600000, 0xf)
18273be64b3SJiawei Lin  val uartDevice = new SimpleDevice("serial", Seq("xilinx,uartlite"))
18373be64b3SJiawei Lin  val uartParams = AXI4SlaveParameters(
18473be64b3SJiawei Lin    address = Seq(uartRange),
18573be64b3SJiawei Lin    regionType = RegionType.UNCACHED,
18673be64b3SJiawei Lin    supportsRead = TransferSizes(1, 8),
18773be64b3SJiawei Lin    supportsWrite = TransferSizes(1, 8),
18873be64b3SJiawei Lin    resources = uartDevice.reg
18973be64b3SJiawei Lin  )
19073be64b3SJiawei Lin  val peripheralRange = AddressSet(
19173be64b3SJiawei Lin    0x0, 0x7fffffff
19273be64b3SJiawei Lin  ).subtract(onChipPeripheralRange).flatMap(x => x.subtract(uartRange))
19373be64b3SJiawei Lin  val peripheralNode = AXI4SlaveNode(Seq(AXI4SlavePortParameters(
19473be64b3SJiawei Lin    Seq(AXI4SlaveParameters(
19573be64b3SJiawei Lin      address = peripheralRange,
19673be64b3SJiawei Lin      regionType = RegionType.UNCACHED,
19773be64b3SJiawei Lin      supportsRead = TransferSizes(1, 8),
19873be64b3SJiawei Lin      supportsWrite = TransferSizes(1, 8),
19973be64b3SJiawei Lin      interleavedId = Some(0)
20073be64b3SJiawei Lin    ), uartParams),
20173be64b3SJiawei Lin    beatBytes = 8
20273be64b3SJiawei Lin  )))
20373be64b3SJiawei Lin
20473be64b3SJiawei Lin  peripheralNode :=
20573be64b3SJiawei Lin    AXI4UserYanker() :=
20673be64b3SJiawei Lin    AXI4Deinterleaver(8) :=
20773be64b3SJiawei Lin    TLToAXI4() :=
20873be64b3SJiawei Lin    peripheralXbar
20973be64b3SJiawei Lin
21073be64b3SJiawei Lin  val peripheral = InModuleBody {
21173be64b3SJiawei Lin    peripheralNode.makeIOs()
21273be64b3SJiawei Lin  }
21373be64b3SJiawei Lin
21473be64b3SJiawei Lin}
21573be64b3SJiawei Lin
21673be64b3SJiawei Linclass SoCMisc()(implicit p: Parameters) extends BaseSoC
21773be64b3SJiawei Lin  with HaveAXI4MemPort
21873be64b3SJiawei Lin  with HaveAXI4PeripheralPort
21973be64b3SJiawei Lin  with HaveSlaveAXI4Port
22073be64b3SJiawei Lin{
22173be64b3SJiawei Lin  val peripheral_ports = Array.fill(NumCores) { TLTempNode() }
22273be64b3SJiawei Lin  val core_to_l3_ports = Array.fill(NumCores) { TLTempNode() }
22373be64b3SJiawei Lin
22473be64b3SJiawei Lin  val l3_in = TLTempNode()
22573be64b3SJiawei Lin  val l3_out = TLTempNode()
22673be64b3SJiawei Lin  val l3_mem_pmu = BusPerfMonitor(enable = !debugOpts.FPGAPlatform)
22773be64b3SJiawei Lin
22829230e82SJiawei Lin  l3_in :*= TLEdgeBuffer(_ => true, Some("L3_in_buffer")) :*= l3_banked_xbar
22973be64b3SJiawei Lin  bankedNode :*= TLLogger("MEM_L3", !debugOpts.FPGAPlatform) :*= l3_mem_pmu :*= l3_out
23073be64b3SJiawei Lin
23173be64b3SJiawei Lin  if(soc.L3CacheParamsOpt.isEmpty){
23273be64b3SJiawei Lin    l3_out :*= l3_in
23373be64b3SJiawei Lin  }
23473be64b3SJiawei Lin
23573be64b3SJiawei Lin  for(port <- peripheral_ports) {
23673be64b3SJiawei Lin    peripheralXbar := port
23773be64b3SJiawei Lin  }
23873be64b3SJiawei Lin
23973be64b3SJiawei Lin  for ((core_out, i) <- core_to_l3_ports.zipWithIndex){
24029230e82SJiawei Lin    l3_banked_xbar :=*
24129230e82SJiawei Lin      TLLogger(s"L3_L2_$i", !debugOpts.FPGAPlatform) :=*
24229230e82SJiawei Lin      TLEdgeBuffer(idx => {
24329230e82SJiawei Lin        /*
24429230e82SJiawei Lin                  Core0     Core1
24529230e82SJiawei Lin            _____________________________
24629230e82SJiawei Lin           | L3   B0, B2     B1,B3      |
24729230e82SJiawei Lin            -----------------------------
24829230e82SJiawei Lin
24929230e82SJiawei Lin            Core(i)          0         1
25029230e82SJiawei Lin            Port(idx)      0   1     0  1
25129230e82SJiawei Lin            Buffer?        N   Y     Y  N
25229230e82SJiawei Lin         */
25329230e82SJiawei Lin        val insert_buffer = (i % 2) != (idx % 2)
25429230e82SJiawei Lin        insert_buffer
25529230e82SJiawei Lin      }, Some(s"core_${i}_to_l3_buffer")) :=* core_out
25673be64b3SJiawei Lin  }
25734ab1ae9SJiawei Lin  l3_banked_xbar :=* BankBinder(tiles.head.L2NBanks, L3BlockSize) :*= l3_xbar
25873be64b3SJiawei Lin
25973be64b3SJiawei Lin  val clint = LazyModule(new CLINT(CLINTParams(0x38000000L), 8))
26073be64b3SJiawei Lin  clint.node := peripheralXbar
26173be64b3SJiawei Lin
26273be64b3SJiawei Lin  class IntSourceNodeToModule(val num: Int)(implicit p: Parameters) extends LazyModule {
26373be64b3SJiawei Lin    val sourceNode = IntSourceNode(IntSourcePortSimple(num, ports = 1, sources = 1))
26473be64b3SJiawei Lin    lazy val module = new LazyModuleImp(this){
26573be64b3SJiawei Lin      val in = IO(Input(Vec(num, Bool())))
26673be64b3SJiawei Lin      in.zip(sourceNode.out.head._1).foreach{ case (i, s) => s := i }
26773be64b3SJiawei Lin    }
26873be64b3SJiawei Lin  }
26973be64b3SJiawei Lin
27073be64b3SJiawei Lin  val plic = LazyModule(new TLPLIC(PLICParams(0x3c000000L), 8))
27173be64b3SJiawei Lin  val plicSource = LazyModule(new IntSourceNodeToModule(NrExtIntr))
27273be64b3SJiawei Lin
27373be64b3SJiawei Lin  plic.intnode := plicSource.sourceNode
27473be64b3SJiawei Lin  plic.node := peripheralXbar
27573be64b3SJiawei Lin
27634ab1ae9SJiawei Lin  val pll_node = TLRegisterNode(
27734ab1ae9SJiawei Lin    address = Seq(AddressSet(0x3a000000L, 0xfff)),
27834ab1ae9SJiawei Lin    device = new SimpleDevice("pll_ctrl", Seq()),
27934ab1ae9SJiawei Lin    beatBytes = 8,
28034ab1ae9SJiawei Lin    concurrency = 1
28134ab1ae9SJiawei Lin  )
28234ab1ae9SJiawei Lin  pll_node := peripheralXbar
28334ab1ae9SJiawei Lin
28473be64b3SJiawei Lin  val debugModule = LazyModule(new DebugModule(NumCores)(p))
28573be64b3SJiawei Lin  debugModule.debug.node := peripheralXbar
28673be64b3SJiawei Lin  debugModule.debug.dmInner.dmInner.sb2tlOpt.foreach { sb2tl  =>
28773be64b3SJiawei Lin    l3_xbar := TLBuffer() := TLWidthWidget(1) := sb2tl.node
28873be64b3SJiawei Lin  }
28973be64b3SJiawei Lin
29073be64b3SJiawei Lin  lazy val module = new LazyModuleImp(this){
29173be64b3SJiawei Lin
29273be64b3SJiawei Lin    val debug_module_io = IO(chiselTypeOf(debugModule.module.io))
29373be64b3SJiawei Lin    val ext_intrs = IO(Input(UInt(NrExtIntr.W)))
29434ab1ae9SJiawei Lin    val pll0_lock = IO(Input(Bool()))
29534ab1ae9SJiawei Lin    val pll0_ctrl = IO(Output(Vec(6, UInt(32.W))))
29673be64b3SJiawei Lin
297630aeed7Srvcoresjw    val ext_intrs_sync = RegNext(RegNext(RegNext(ext_intrs)))
298630aeed7Srvcoresjw    val ext_intrs_wire = Wire(UInt(NrExtIntr.W))
299630aeed7Srvcoresjw    ext_intrs_wire := ext_intrs_sync
30073be64b3SJiawei Lin    debugModule.module.io <> debug_module_io
301630aeed7Srvcoresjw    plicSource.module.in := ext_intrs_wire.asBools
30273be64b3SJiawei Lin
30373be64b3SJiawei Lin    val freq = 100
30473be64b3SJiawei Lin    val cnt = RegInit(freq.U)
30573be64b3SJiawei Lin    val tick = cnt === 0.U
30673be64b3SJiawei Lin    cnt := Mux(tick, freq.U, cnt - 1.U)
30773be64b3SJiawei Lin    clint.module.io.rtcTick := tick
30834ab1ae9SJiawei Lin
30934ab1ae9SJiawei Lin    val pll_ctrl_regs = Seq.fill(6){ RegInit(0.U(32.W)) }
31034ab1ae9SJiawei Lin    val pll_lock = RegNext(next = pll0_lock, init = false.B)
31134ab1ae9SJiawei Lin
31234ab1ae9SJiawei Lin    pll0_ctrl <> VecInit(pll_ctrl_regs)
31334ab1ae9SJiawei Lin
31434ab1ae9SJiawei Lin    pll_node.regmap(
31534ab1ae9SJiawei Lin      0x000 -> RegFieldGroup(
31634ab1ae9SJiawei Lin        "Pll", Some("PLL ctrl regs"),
31734ab1ae9SJiawei Lin        pll_ctrl_regs.zipWithIndex.map{
31834ab1ae9SJiawei Lin          case (r, i) => RegField(32, r, RegFieldDesc(
31934ab1ae9SJiawei Lin            s"PLL_ctrl_$i",
32034ab1ae9SJiawei Lin            desc = s"PLL ctrl register #$i"
32134ab1ae9SJiawei Lin          ))
32234ab1ae9SJiawei Lin        } :+ RegField.r(32, Cat(0.U(31.W), pll_lock), RegFieldDesc(
32334ab1ae9SJiawei Lin          "PLL_lock",
32434ab1ae9SJiawei Lin          "PLL lock register"
32534ab1ae9SJiawei Lin        ))
32634ab1ae9SJiawei Lin      )
32734ab1ae9SJiawei Lin    )
32873be64b3SJiawei Lin  }
3290584d3a8SLinJiawei}
330