14e12f40bSzhanglinjuan/*************************************************************************************** 24e12f40bSzhanglinjuan* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 34e12f40bSzhanglinjuan* Copyright (c) 2020-2021 Peng Cheng Laboratory 44e12f40bSzhanglinjuan* 54e12f40bSzhanglinjuan* XiangShan is licensed under Mulan PSL v2. 64e12f40bSzhanglinjuan* You can use this software according to the terms and conditions of the Mulan PSL v2. 74e12f40bSzhanglinjuan* You may obtain a copy of Mulan PSL v2 at: 84e12f40bSzhanglinjuan* http://license.coscl.org.cn/MulanPSL2 94e12f40bSzhanglinjuan* 104e12f40bSzhanglinjuan* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 114e12f40bSzhanglinjuan* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 124e12f40bSzhanglinjuan* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 134e12f40bSzhanglinjuan* 144e12f40bSzhanglinjuan* See the Mulan PSL v2 for more details. 15c49ebec8SHaoyuan Feng* 16c49ebec8SHaoyuan Feng* 17c49ebec8SHaoyuan Feng* Acknowledgement 18c49ebec8SHaoyuan Feng* 19c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers: 20c49ebec8SHaoyuan Feng* [1] Robert. M. Tomasulo. "[An efficient algorithm for exploiting multiple arithmetic units.] 21c49ebec8SHaoyuan Feng* (https://doi.org/10.1147/rd.111.0025)" IBM Journal of Research and Development (IBMJ) 11.1: 25-33. 1967. 224e12f40bSzhanglinjuan***************************************************************************************/ 234e12f40bSzhanglinjuan 24730cfbc0SXuan Hupackage xiangshan.backend 25730cfbc0SXuan Hu 2683ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 27730cfbc0SXuan Huimport chisel3._ 28730cfbc0SXuan Huimport chisel3.util._ 2985a8d7caSZehao Liuimport difftest._ 30730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 31007f6122SXuan Huimport system.HasSoCParameter 32f55cdaabSzhanglinjuanimport utility._ 33*30f35717Scz4eimport utility.sram.SramBroadcastBundle 34730cfbc0SXuan Huimport xiangshan._ 35f19cc441Szhanglinjuanimport xiangshan.backend.Bundles.{DynInst, IssueQueueIQWakeUpBundle, LoadShouldCancel, MemExuInput, MemExuOutput, VPUCtrlSignals} 36870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, LsTopdownInfo} 3760f0c5aeSxiaofeibaoimport xiangshan.backend.datapath.DataConfig.{IntData, VecData, FpData} 38c34b4b06SXuan Huimport xiangshan.backend.datapath.RdConfig.{IntRD, VfRD} 39730cfbc0SXuan Huimport xiangshan.backend.datapath.WbConfig._ 40d8a50338SZiyue Zhangimport xiangshan.backend.datapath.DataConfig._ 41c34b4b06SXuan Huimport xiangshan.backend.datapath._ 4283ba63b3SXuan Huimport xiangshan.backend.dispatch.CoreDispatchTopDownIO 43730cfbc0SXuan Huimport xiangshan.backend.exu.ExuBlock 44a8db15d8Sfdyimport xiangshan.backend.fu.vector.Bundles.{VConfig, VType} 45a67fd0f5SGuanghui Chengimport xiangshan.backend.fu.{FenceIO, FenceToSbuffer, FuConfig, FuType, PerfCounterIO} 46a67fd0f5SGuanghui Chengimport xiangshan.backend.fu.NewCSR.PFEvent 47aa2bcc31SzhanglyGitimport xiangshan.backend.issue.EntryBundles._ 48f57d73d6Ssinsanctionimport xiangshan.backend.issue.{Scheduler, SchedulerArithImp, SchedulerImpBase, SchedulerMemImp} 491548ca99SHaojin Tangimport xiangshan.backend.rob.{RobCoreTopDownIO, RobDebugRollingIO, RobLsqIO, RobPtr} 504907ec88Schengguanghuiimport xiangshan.backend.trace.TraceCoreInterface 519d8d7860SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead, PreDecodeInfo} 52730cfbc0SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 53e156f460SHaojin Tang 540c7ebb58Sxiaofeibao-xjtuimport scala.collection.mutable 55730cfbc0SXuan Hu 56730cfbc0SXuan Huclass Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule 57730cfbc0SXuan Hu with HasXSParameter { 581ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 59233f2ad0Szhanglinjuan val inner = LazyModule(new BackendInlined(params)) 60233f2ad0Szhanglinjuan lazy val module = new BackendImp(this) 61233f2ad0Szhanglinjuan} 62233f2ad0Szhanglinjuan 63233f2ad0Szhanglinjuanclass BackendImp(wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper) { 64233f2ad0Szhanglinjuan val io = IO(new BackendIO()(p, wrapper.params)) 65233f2ad0Szhanglinjuan io <> wrapper.inner.module.io 66233f2ad0Szhanglinjuan if (p(DebugOptionsKey).ResetGen) { 67*30f35717Scz4e ResetGen(ResetGenNode(Seq(ModuleNode(wrapper.inner.module))), reset, sim = false, io.dft_reset) 68233f2ad0Szhanglinjuan } 69233f2ad0Szhanglinjuan} 70233f2ad0Szhanglinjuan 71233f2ad0Szhanglinjuanclass BackendInlined(val params: BackendParams)(implicit p: Parameters) extends LazyModule 72233f2ad0Szhanglinjuan with HasXSParameter { 73233f2ad0Szhanglinjuan 74233f2ad0Szhanglinjuan override def shouldBeInlined: Boolean = true 751ca4a39dSXuan Hu 768d035b8dSsinsanction // check read & write port config 778d035b8dSsinsanction params.configChecks 788d035b8dSsinsanction 799b258a00Sxgkiri /* Only update the idx in mem-scheduler here 809b258a00Sxgkiri * Idx in other schedulers can be updated the same way if needed 819b258a00Sxgkiri * 829b258a00Sxgkiri * Also note that we filter out the 'stData issue-queues' when counting 839b258a00Sxgkiri */ 84e07131b2Ssinsanction for ((ibp, idx) <- params.memSchdParams.get.issueBlockParams.filter(iq => iq.StdCnt == 0).zipWithIndex) { 859b258a00Sxgkiri ibp.updateIdx(idx) 869b258a00Sxgkiri } 879b258a00Sxgkiri 88bf35baadSXuan Hu println(params.iqWakeUpParams) 89bf35baadSXuan Hu 90dd473fffSXuan Hu for ((schdCfg, i) <- params.allSchdParams.zipWithIndex) { 91dd473fffSXuan Hu schdCfg.bindBackendParam(params) 92dd473fffSXuan Hu } 93dd473fffSXuan Hu 94dd473fffSXuan Hu for ((iqCfg, i) <- params.allIssueParams.zipWithIndex) { 95dd473fffSXuan Hu iqCfg.bindBackendParam(params) 96dd473fffSXuan Hu } 97dd473fffSXuan Hu 98bf35baadSXuan Hu for ((exuCfg, i) <- params.allExuParams.zipWithIndex) { 99b133b458SXuan Hu exuCfg.bindBackendParam(params) 100bf35baadSXuan Hu exuCfg.updateIQWakeUpConfigs(params.iqWakeUpParams) 101bf35baadSXuan Hu exuCfg.updateExuIdx(i) 102bf35baadSXuan Hu } 103bf35baadSXuan Hu 1040655b1a0SXuan Hu println("[Backend] ExuConfigs:") 105730cfbc0SXuan Hu for (exuCfg <- params.allExuParams) { 106730cfbc0SXuan Hu val fuConfigs = exuCfg.fuConfigs 107730cfbc0SXuan Hu val wbPortConfigs = exuCfg.wbPortConfigs 108730cfbc0SXuan Hu val immType = exuCfg.immType 109bf44d649SXuan Hu 1100655b1a0SXuan Hu println("[Backend] " + 1110655b1a0SXuan Hu s"${exuCfg.name}: " + 112670870b3SXuan Hu (if (exuCfg.fakeUnit) "fake, " else "") + 11304c99ecaSXuan Hu (if (exuCfg.hasLoadFu || exuCfg.hasHyldaFu) s"LdExuIdx(${backendParams.getLdExuIdx(exuCfg)})" else "") + 1140655b1a0SXuan Hu s"${fuConfigs.map(_.name).mkString("fu(s): {", ",", "}")}, " + 1150655b1a0SXuan Hu s"${wbPortConfigs.mkString("wb: {", ",", "}")}, " + 116bf44d649SXuan Hu s"${immType.map(SelImm.mkString(_)).mkString("imm: {", ",", "}")}, " + 117670870b3SXuan Hu s"latMax(${exuCfg.latencyValMax}), ${exuCfg.fuLatancySet.mkString("lat: {", ",", "}")}, " + 118670870b3SXuan Hu s"srcReg(${exuCfg.numRegSrc})" 119c0be7f33SXuan Hu ) 120c0be7f33SXuan Hu require( 121c0be7f33SXuan Hu wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty == 122730cfbc0SXuan Hu fuConfigs.map(_.writeIntRf).reduce(_ || _), 1234c7680e0SXuan Hu s"${exuCfg.name} int wb port has no priority" 124c0be7f33SXuan Hu ) 125c0be7f33SXuan Hu require( 12660f0c5aeSxiaofeibao wbPortConfigs.collectFirst { case x: FpWB => x }.nonEmpty == 12760f0c5aeSxiaofeibao fuConfigs.map(x => x.writeFpRf).reduce(_ || _), 12860f0c5aeSxiaofeibao s"${exuCfg.name} fp wb port has no priority" 12960f0c5aeSxiaofeibao ) 13060f0c5aeSxiaofeibao require( 131c0be7f33SXuan Hu wbPortConfigs.collectFirst { case x: VfWB => x }.nonEmpty == 13260f0c5aeSxiaofeibao fuConfigs.map(x => x.writeVecRf).reduce(_ || _), 1334c7680e0SXuan Hu s"${exuCfg.name} vec wb port has no priority" 134c0be7f33SXuan Hu ) 135730cfbc0SXuan Hu } 136730cfbc0SXuan Hu 137c34b4b06SXuan Hu println(s"[Backend] all fu configs") 138b6b11f60SXuan Hu for (cfg <- FuConfig.allConfigs) { 139b6b11f60SXuan Hu println(s"[Backend] $cfg") 140b6b11f60SXuan Hu } 141b6b11f60SXuan Hu 142c34b4b06SXuan Hu println(s"[Backend] Int RdConfigs: ExuName(Priority)") 14339c59369SXuan Hu for ((port, seq) <- params.getRdPortParams(IntData())) { 144c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 145c34b4b06SXuan Hu } 146c34b4b06SXuan Hu 147c34b4b06SXuan Hu println(s"[Backend] Int WbConfigs: ExuName(Priority)") 14839c59369SXuan Hu for ((port, seq) <- params.getWbPortParams(IntData())) { 149c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 150c34b4b06SXuan Hu } 151c34b4b06SXuan Hu 15260f0c5aeSxiaofeibao println(s"[Backend] Fp RdConfigs: ExuName(Priority)") 15360f0c5aeSxiaofeibao for ((port, seq) <- params.getRdPortParams(FpData())) { 15460f0c5aeSxiaofeibao println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 15560f0c5aeSxiaofeibao } 15660f0c5aeSxiaofeibao 15760f0c5aeSxiaofeibao println(s"[Backend] Fp WbConfigs: ExuName(Priority)") 15860f0c5aeSxiaofeibao for ((port, seq) <- params.getWbPortParams(FpData())) { 15960f0c5aeSxiaofeibao println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 16060f0c5aeSxiaofeibao } 16160f0c5aeSxiaofeibao 162c34b4b06SXuan Hu println(s"[Backend] Vf RdConfigs: ExuName(Priority)") 16339c59369SXuan Hu for ((port, seq) <- params.getRdPortParams(VecData())) { 164c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 165c34b4b06SXuan Hu } 166c34b4b06SXuan Hu 167c34b4b06SXuan Hu println(s"[Backend] Vf WbConfigs: ExuName(Priority)") 16839c59369SXuan Hu for ((port, seq) <- params.getWbPortParams(VecData())) { 169c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 170c34b4b06SXuan Hu } 171c34b4b06SXuan Hu 172d97a1af7SXuan Hu println(s"[Backend] Dispatch Configs:") 173d97a1af7SXuan Hu println(s"[Backend] Load IQ enq width(${params.numLoadDp}), Store IQ enq width(${params.numStoreDp})") 174d97a1af7SXuan Hu println(s"[Backend] Load DP width(${LSQLdEnqWidth}), Store DP width(${LSQStEnqWidth})") 175d97a1af7SXuan Hu 1760c7ebb58Sxiaofeibao-xjtu params.updateCopyPdestInfo 1770c7ebb58Sxiaofeibao-xjtu println(s"[Backend] copyPdestInfo ${params.copyPdestInfo}") 1784c5a0d77Sxiaofeibao-xjtu params.allExuParams.map(_.copyNum) 179730cfbc0SXuan Hu val ctrlBlock = LazyModule(new CtrlBlock(params)) 180730cfbc0SXuan Hu val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x))) 18160f0c5aeSxiaofeibao val fpScheduler = params.fpSchdParams.map(x => LazyModule(new Scheduler(x))) 182730cfbc0SXuan Hu val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x))) 183730cfbc0SXuan Hu val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x))) 184730cfbc0SXuan Hu val dataPath = LazyModule(new DataPath(params)) 185730cfbc0SXuan Hu val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x))) 18660f0c5aeSxiaofeibao val fpExuBlock = params.fpSchdParams.map(x => LazyModule(new ExuBlock(x))) 187730cfbc0SXuan Hu val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x))) 1887f847969SzhanglyGit val wbFuBusyTable = LazyModule(new WbFuBusyTable(params)) 189730cfbc0SXuan Hu 190233f2ad0Szhanglinjuan lazy val module = new BackendInlinedImp(this) 191730cfbc0SXuan Hu} 192730cfbc0SXuan Hu 193233f2ad0Szhanglinjuanclass BackendInlinedImp(override val wrapper: BackendInlined)(implicit p: Parameters) extends LazyModuleImp(wrapper) 194e1a85e9fSchengguanghui with HasXSParameter 19585a8d7caSZehao Liu with HasPerfEvents 19685a8d7caSZehao Liu with HasCriticalErrors { 197195ef4a5STang Haojin implicit private val params: BackendParams = wrapper.params 198870f462dSXuan Hu 199730cfbc0SXuan Hu val io = IO(new BackendIO()(p, wrapper.params)) 200730cfbc0SXuan Hu 201730cfbc0SXuan Hu private val ctrlBlock = wrapper.ctrlBlock.module 20283ba63b3SXuan Hu private val intScheduler: SchedulerImpBase = wrapper.intScheduler.get.module 20360f0c5aeSxiaofeibao private val fpScheduler = wrapper.fpScheduler.get.module 204730cfbc0SXuan Hu private val vfScheduler = wrapper.vfScheduler.get.module 205730cfbc0SXuan Hu private val memScheduler = wrapper.memScheduler.get.module 206730cfbc0SXuan Hu private val dataPath = wrapper.dataPath.module 207730cfbc0SXuan Hu private val intExuBlock = wrapper.intExuBlock.get.module 20860f0c5aeSxiaofeibao private val fpExuBlock = wrapper.fpExuBlock.get.module 209730cfbc0SXuan Hu private val vfExuBlock = wrapper.vfExuBlock.get.module 210c38df446SzhanglyGit private val og2ForVector = Module(new Og2ForVector(params)) 2115d2b9cadSXuan Hu private val bypassNetwork = Module(new BypassNetwork) 212730cfbc0SXuan Hu private val wbDataPath = Module(new WbDataPath(params)) 2137f847969SzhanglyGit private val wbFuBusyTable = wrapper.wbFuBusyTable.module 214e43bb916SXuan Hu private val vecExcpMod = Module(new VecExcpDataMergeModule) 215730cfbc0SXuan Hu 216c0be7f33SXuan Hu private val iqWakeUpMappedBundle: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] = ( 217bf35baadSXuan Hu intScheduler.io.toSchedulers.wakeupVec ++ 21860f0c5aeSxiaofeibao fpScheduler.io.toSchedulers.wakeupVec ++ 219bf35baadSXuan Hu vfScheduler.io.toSchedulers.wakeupVec ++ 220bf35baadSXuan Hu memScheduler.io.toSchedulers.wakeupVec 221c0be7f33SXuan Hu ).map(x => (x.bits.exuIdx, x)).toMap 222bf35baadSXuan Hu 2239df83ee5Sxiaofeibao private val iqWakeUpMappedBundleDelayed: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] = ( 2249df83ee5Sxiaofeibao intScheduler.io.toSchedulers.wakeupVec ++ 2259df83ee5Sxiaofeibao fpScheduler.io.toSchedulers.wakeupVec ++ 2269df83ee5Sxiaofeibao vfScheduler.io.toSchedulers.wakeupVec ++ 2279df83ee5Sxiaofeibao memScheduler.io.toSchedulers.wakeupVec 2289df83ee5Sxiaofeibao ).map{ case x => 2299df83ee5Sxiaofeibao val delayed = Wire(chiselTypeOf(x)) 2309df83ee5Sxiaofeibao // TODO: add clock gate use Wen, remove issuequeue wakeupToIQ logic Wen = Wen && valid 2319df83ee5Sxiaofeibao delayed := RegNext(x) 2329df83ee5Sxiaofeibao (x.bits.exuIdx, delayed) 2339df83ee5Sxiaofeibao }.toMap 2349df83ee5Sxiaofeibao 235bf35baadSXuan Hu println(s"[Backend] iq wake up keys: ${iqWakeUpMappedBundle.keys}") 236bf35baadSXuan Hu 237dd970561SzhanglyGit wbFuBusyTable.io.in.intSchdBusyTable := intScheduler.io.wbFuBusyTable 23860f0c5aeSxiaofeibao wbFuBusyTable.io.in.fpSchdBusyTable := fpScheduler.io.wbFuBusyTable 239dd970561SzhanglyGit wbFuBusyTable.io.in.vfSchdBusyTable := vfScheduler.io.wbFuBusyTable 240dd970561SzhanglyGit wbFuBusyTable.io.in.memSchdBusyTable := memScheduler.io.wbFuBusyTable 241dd970561SzhanglyGit intScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.intRespRead 24260f0c5aeSxiaofeibao fpScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.fpRespRead 243dd970561SzhanglyGit vfScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.vfRespRead 244dd970561SzhanglyGit memScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.memRespRead 245dd970561SzhanglyGit dataPath.io.wbConfictRead := wbFuBusyTable.io.out.wbConflictRead 2462e0a7dc5Sfdy 247be9ff987Ssinsanction private val og1Cancel = dataPath.io.og1Cancel 248be9ff987Ssinsanction private val og0Cancel = dataPath.io.og0Cancel 249d88d4328SZiyue Zhang private val vlFromIntIsZero = intExuBlock.io.vlIsZero.get 250d88d4328SZiyue Zhang private val vlFromIntIsVlmax = intExuBlock.io.vlIsVlmax.get 251d88d4328SZiyue Zhang private val vlFromVfIsZero = vfExuBlock.io.vlIsZero.get 252d88d4328SZiyue Zhang private val vlFromVfIsVlmax = vfExuBlock.io.vlIsVlmax.get 253fb4849e5SXuan Hu 254a751b11aSchengguanghui private val backendCriticalError = Wire(Bool()) 255a751b11aSchengguanghui 256730cfbc0SXuan Hu ctrlBlock.io.fromTop.hartId := io.fromTop.hartId 257730cfbc0SXuan Hu ctrlBlock.io.frontend <> io.frontend 25815ed99a7SXuan Hu ctrlBlock.io.fromCSR.toDecode := intExuBlock.io.csrToDecode.get 259c308d936Schengguanghui ctrlBlock.io.fromCSR.traceCSR := intExuBlock.io.csrio.get.traceCSR 2607da4513bSxiaofeibao ctrlBlock.io.fromCSR.instrAddrTransType := RegNext(intExuBlock.io.csrio.get.instrAddrTransType) 261730cfbc0SXuan Hu ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback 262730cfbc0SXuan Hu ctrlBlock.io.fromMem.stIn <> io.mem.stIn 263730cfbc0SXuan Hu ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation 26417b21f45SHaojin Tang ctrlBlock.io.lqCanAccept := io.mem.lqCanAccept 26517b21f45SHaojin Tang ctrlBlock.io.sqCanAccept := io.mem.sqCanAccept 2660a7d1d5cSxiaofeibao 2670a7d1d5cSxiaofeibao io.mem.lsqEnqIO <> ctrlBlock.io.toMem.lsqEnqIO 2680a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.scommit := io.mem.sqDeq 2690a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.lcommit := io.mem.lqDeq 2700a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.sqDeqPtr := io.mem.sqDeqPtr 2710a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.lqDeqPtr := io.mem.lqDeqPtr 2720a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.sqCancelCnt := io.mem.sqCancelCnt 2730a7d1d5cSxiaofeibao ctrlBlock.io.fromMemToDispatch.lqCancelCnt := io.mem.lqCancelCnt 2740a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wakeUpInt := intScheduler.io.toSchedulers.wakeupVec 2750a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wakeUpFp := fpScheduler.io.toSchedulers.wakeupVec 2760a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wakeUpVec := vfScheduler.io.toSchedulers.wakeupVec 2770a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wakeUpMem := memScheduler.io.toSchedulers.wakeupVec 2780a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.IQValidNumVec := intScheduler.io.IQValidNumVec ++ fpScheduler.io.IQValidNumVec ++ vfScheduler.io.IQValidNumVec ++ memScheduler.io.IQValidNumVec 2790a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.ldCancel := io.mem.ldCancel 2800a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.og0Cancel := og0Cancel 2810a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wbPregsInt.zip(wbDataPath.io.toIntPreg).map(x => { 2820a7d1d5cSxiaofeibao x._1.valid := x._2.wen && x._2.intWen 2830a7d1d5cSxiaofeibao x._1.bits := x._2.addr 2840a7d1d5cSxiaofeibao }) 2850a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wbPregsFp.zip(wbDataPath.io.toFpPreg).map(x => { 2860a7d1d5cSxiaofeibao x._1.valid := x._2.wen && x._2.fpWen 2870a7d1d5cSxiaofeibao x._1.bits := x._2.addr 2880a7d1d5cSxiaofeibao }) 2890a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wbPregsVec.zip(wbDataPath.io.toVfPreg).map(x => { 2900a7d1d5cSxiaofeibao x._1.valid := x._2.wen && x._2.vecWen 2910a7d1d5cSxiaofeibao x._1.bits := x._2.addr 2920a7d1d5cSxiaofeibao }) 2930a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wbPregsV0.zip(wbDataPath.io.toV0Preg).map(x => { 2940a7d1d5cSxiaofeibao x._1.valid := x._2.wen && x._2.v0Wen 2950a7d1d5cSxiaofeibao x._1.bits := x._2.addr 2960a7d1d5cSxiaofeibao }) 2970a7d1d5cSxiaofeibao ctrlBlock.io.toDispatch.wbPregsVl.zip(wbDataPath.io.toVlPreg).map(x => { 2980a7d1d5cSxiaofeibao x._1.valid := x._2.wen && x._2.vlWen 2990a7d1d5cSxiaofeibao x._1.bits := x._2.addr 3000a7d1d5cSxiaofeibao }) 3017edcfc93SZiyue Zhang ctrlBlock.io.toDispatch.vlWriteBackInfo.vlFromIntIsZero := vlFromIntIsZero 3027edcfc93SZiyue Zhang ctrlBlock.io.toDispatch.vlWriteBackInfo.vlFromIntIsVlmax := vlFromIntIsVlmax 3037edcfc93SZiyue Zhang ctrlBlock.io.toDispatch.vlWriteBackInfo.vlFromVfIsZero := vlFromVfIsZero 3047edcfc93SZiyue Zhang ctrlBlock.io.toDispatch.vlWriteBackInfo.vlFromVfIsVlmax := vlFromVfIsVlmax 305730cfbc0SXuan Hu ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl 306730cfbc0SXuan Hu ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt 307730cfbc0SXuan Hu ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget 308730cfbc0SXuan Hu ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet 309730cfbc0SXuan Hu ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event 310a751b11aSchengguanghui ctrlBlock.io.robio.csr.criticalErrorState := intExuBlock.io.csrio.get.criticalErrorState 311730cfbc0SXuan Hu ctrlBlock.io.robio.lsq <> io.mem.robLsqIO 31217b21f45SHaojin Tang ctrlBlock.io.robio.lsTopdownInfo <> io.mem.lsTopdownInfo 31317b21f45SHaojin Tang ctrlBlock.io.robio.debug_ls <> io.mem.debugLS 3146ce10964SXuan Hu ctrlBlock.io.debugEnqLsq.canAccept := io.mem.lsqEnqIO.canAccept 3156ce10964SXuan Hu ctrlBlock.io.debugEnqLsq.resp := io.mem.lsqEnqIO.resp 3160a7d1d5cSxiaofeibao ctrlBlock.io.debugEnqLsq.req := ctrlBlock.io.toMem.lsqEnqIO.req 3170a7d1d5cSxiaofeibao ctrlBlock.io.debugEnqLsq.needAlloc := ctrlBlock.io.toMem.lsqEnqIO.needAlloc 3180a7d1d5cSxiaofeibao ctrlBlock.io.debugEnqLsq.iqAccept := ctrlBlock.io.toMem.lsqEnqIO.iqAccept 319e43bb916SXuan Hu ctrlBlock.io.fromVecExcpMod.busy := vecExcpMod.o.status.busy 3206ce10964SXuan Hu 3219df83ee5Sxiaofeibao val intWriteBackDelayed = Wire(chiselTypeOf(wbDataPath.io.toIntPreg)) 3229df83ee5Sxiaofeibao intWriteBackDelayed.zip(wbDataPath.io.toIntPreg).map{ case (sink, source) => 3239df83ee5Sxiaofeibao sink := DontCare 3249df83ee5Sxiaofeibao sink.wen := RegNext(source.wen) 3259df83ee5Sxiaofeibao sink.intWen := RegNext(source.intWen) 3269df83ee5Sxiaofeibao sink.addr := RegEnable(source.addr, source.wen) 3279df83ee5Sxiaofeibao } 3289df83ee5Sxiaofeibao val fpWriteBackDelayed = Wire(chiselTypeOf(wbDataPath.io.toFpPreg)) 3299df83ee5Sxiaofeibao fpWriteBackDelayed.zip(wbDataPath.io.toFpPreg).map { case (sink, source) => 3309df83ee5Sxiaofeibao sink := DontCare 3319df83ee5Sxiaofeibao sink.wen := RegNext(source.wen) 3329df83ee5Sxiaofeibao sink.fpWen := RegNext(source.fpWen) 3339df83ee5Sxiaofeibao sink.addr := RegEnable(source.addr, source.wen) 3349df83ee5Sxiaofeibao } 3359df83ee5Sxiaofeibao val vfWriteBackDelayed = Wire(chiselTypeOf(wbDataPath.io.toVfPreg)) 3369df83ee5Sxiaofeibao vfWriteBackDelayed.zip(wbDataPath.io.toVfPreg).map { case (sink, source) => 3379df83ee5Sxiaofeibao sink := DontCare 3389df83ee5Sxiaofeibao sink.wen := RegNext(source.wen) 3399df83ee5Sxiaofeibao sink.vecWen := RegNext(source.vecWen) 3409df83ee5Sxiaofeibao sink.addr := RegEnable(source.addr, source.wen) 3419df83ee5Sxiaofeibao } 3429df83ee5Sxiaofeibao val v0WriteBackDelayed = Wire(chiselTypeOf(wbDataPath.io.toV0Preg)) 3439df83ee5Sxiaofeibao v0WriteBackDelayed.zip(wbDataPath.io.toV0Preg).map { case (sink, source) => 3449df83ee5Sxiaofeibao sink := DontCare 3459df83ee5Sxiaofeibao sink.wen := RegNext(source.wen) 3469df83ee5Sxiaofeibao sink.v0Wen := RegNext(source.v0Wen) 3479df83ee5Sxiaofeibao sink.addr := RegEnable(source.addr, source.wen) 3489df83ee5Sxiaofeibao } 3499df83ee5Sxiaofeibao val vlWriteBackDelayed = Wire(chiselTypeOf(wbDataPath.io.toVlPreg)) 3509df83ee5Sxiaofeibao vlWriteBackDelayed.zip(wbDataPath.io.toVlPreg).map { case (sink, source) => 3519df83ee5Sxiaofeibao sink := DontCare 3529df83ee5Sxiaofeibao sink.wen := RegNext(source.wen) 3539df83ee5Sxiaofeibao sink.vlWen := RegNext(source.vlWen) 3549df83ee5Sxiaofeibao sink.addr := RegEnable(source.addr, source.wen) 3559df83ee5Sxiaofeibao } 356730cfbc0SXuan Hu intScheduler.io.fromTop.hartId := io.fromTop.hartId 357730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 358730cfbc0SXuan Hu intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops 359730cfbc0SXuan Hu intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 36060f0c5aeSxiaofeibao intScheduler.io.fpWriteBack := 0.U.asTypeOf(intScheduler.io.fpWriteBack) 361730cfbc0SXuan Hu intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack) 36245d40ce7Ssinsanction intScheduler.io.v0WriteBack := 0.U.asTypeOf(intScheduler.io.v0WriteBack) 36345d40ce7Ssinsanction intScheduler.io.vlWriteBack := 0.U.asTypeOf(intScheduler.io.vlWriteBack) 3649df83ee5Sxiaofeibao intScheduler.io.intWriteBackDelayed := intWriteBackDelayed 3659df83ee5Sxiaofeibao intScheduler.io.fpWriteBackDelayed := 0.U.asTypeOf(intScheduler.io.fpWriteBackDelayed) 3669df83ee5Sxiaofeibao intScheduler.io.vfWriteBackDelayed := 0.U.asTypeOf(intScheduler.io.vfWriteBackDelayed) 3679df83ee5Sxiaofeibao intScheduler.io.v0WriteBackDelayed := 0.U.asTypeOf(intScheduler.io.v0WriteBackDelayed) 3689df83ee5Sxiaofeibao intScheduler.io.vlWriteBackDelayed := 0.U.asTypeOf(intScheduler.io.vlWriteBackDelayed) 369c0be7f33SXuan Hu intScheduler.io.fromDataPath.resp := dataPath.io.toIntIQ 370c0be7f33SXuan Hu intScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 3719df83ee5Sxiaofeibao intScheduler.io.fromSchedulers.wakeupVecDelayed.foreach { wakeup => wakeup := iqWakeUpMappedBundleDelayed(wakeup.bits.exuIdx) } 372be9ff987Ssinsanction intScheduler.io.fromDataPath.og0Cancel := og0Cancel 373be9ff987Ssinsanction intScheduler.io.fromDataPath.og1Cancel := og1Cancel 3740f55a0d3SHaojin Tang intScheduler.io.ldCancel := io.mem.ldCancel 375f8b278aaSsinsanction intScheduler.io.fromDataPath.replaceRCIdx.get := dataPath.io.toWakeupQueueRCIdx.take(params.getIntExuRCWriteSize) 376d88d4328SZiyue Zhang intScheduler.io.vlWriteBackInfo.vlFromIntIsZero := false.B 377d88d4328SZiyue Zhang intScheduler.io.vlWriteBackInfo.vlFromIntIsVlmax := false.B 378d88d4328SZiyue Zhang intScheduler.io.vlWriteBackInfo.vlFromVfIsZero := false.B 379d88d4328SZiyue Zhang intScheduler.io.vlWriteBackInfo.vlFromVfIsVlmax := false.B 380730cfbc0SXuan Hu 38160f0c5aeSxiaofeibao fpScheduler.io.fromTop.hartId := io.fromTop.hartId 38260f0c5aeSxiaofeibao fpScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 38360f0c5aeSxiaofeibao fpScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.fpUops 38460f0c5aeSxiaofeibao fpScheduler.io.intWriteBack := 0.U.asTypeOf(fpScheduler.io.intWriteBack) 38560f0c5aeSxiaofeibao fpScheduler.io.fpWriteBack := wbDataPath.io.toFpPreg 38660f0c5aeSxiaofeibao fpScheduler.io.vfWriteBack := 0.U.asTypeOf(fpScheduler.io.vfWriteBack) 38745d40ce7Ssinsanction fpScheduler.io.v0WriteBack := 0.U.asTypeOf(fpScheduler.io.v0WriteBack) 38845d40ce7Ssinsanction fpScheduler.io.vlWriteBack := 0.U.asTypeOf(fpScheduler.io.vlWriteBack) 3899df83ee5Sxiaofeibao fpScheduler.io.intWriteBackDelayed := 0.U.asTypeOf(intWriteBackDelayed) 3909df83ee5Sxiaofeibao fpScheduler.io.fpWriteBackDelayed := fpWriteBackDelayed 3919df83ee5Sxiaofeibao fpScheduler.io.vfWriteBackDelayed := 0.U.asTypeOf(intScheduler.io.vfWriteBackDelayed) 3929df83ee5Sxiaofeibao fpScheduler.io.v0WriteBackDelayed := 0.U.asTypeOf(intScheduler.io.v0WriteBackDelayed) 3939df83ee5Sxiaofeibao fpScheduler.io.vlWriteBackDelayed := 0.U.asTypeOf(intScheduler.io.vlWriteBackDelayed) 39460f0c5aeSxiaofeibao fpScheduler.io.fromDataPath.resp := dataPath.io.toFpIQ 39560f0c5aeSxiaofeibao fpScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 3969df83ee5Sxiaofeibao fpScheduler.io.fromSchedulers.wakeupVecDelayed.foreach { wakeup => wakeup := iqWakeUpMappedBundleDelayed(wakeup.bits.exuIdx) } 397be9ff987Ssinsanction fpScheduler.io.fromDataPath.og0Cancel := og0Cancel 398be9ff987Ssinsanction fpScheduler.io.fromDataPath.og1Cancel := og1Cancel 39960f0c5aeSxiaofeibao fpScheduler.io.ldCancel := io.mem.ldCancel 400d88d4328SZiyue Zhang fpScheduler.io.vlWriteBackInfo.vlFromIntIsZero := false.B 401d88d4328SZiyue Zhang fpScheduler.io.vlWriteBackInfo.vlFromIntIsVlmax := false.B 402d88d4328SZiyue Zhang fpScheduler.io.vlWriteBackInfo.vlFromVfIsZero := false.B 403d88d4328SZiyue Zhang fpScheduler.io.vlWriteBackInfo.vlFromVfIsVlmax := false.B 40460f0c5aeSxiaofeibao 405730cfbc0SXuan Hu memScheduler.io.fromTop.hartId := io.fromTop.hartId 406730cfbc0SXuan Hu memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 407730cfbc0SXuan Hu memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops 408730cfbc0SXuan Hu memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 40960f0c5aeSxiaofeibao memScheduler.io.fpWriteBack := wbDataPath.io.toFpPreg 410730cfbc0SXuan Hu memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 41145d40ce7Ssinsanction memScheduler.io.v0WriteBack := wbDataPath.io.toV0Preg 41245d40ce7Ssinsanction memScheduler.io.vlWriteBack := wbDataPath.io.toVlPreg 4139df83ee5Sxiaofeibao memScheduler.io.intWriteBackDelayed := intWriteBackDelayed 4149df83ee5Sxiaofeibao memScheduler.io.fpWriteBackDelayed := fpWriteBackDelayed 4159df83ee5Sxiaofeibao memScheduler.io.vfWriteBackDelayed := vfWriteBackDelayed 4169df83ee5Sxiaofeibao memScheduler.io.v0WriteBackDelayed := v0WriteBackDelayed 4179df83ee5Sxiaofeibao memScheduler.io.vlWriteBackDelayed := vlWriteBackDelayed 418730cfbc0SXuan Hu memScheduler.io.fromMem.get.scommit := io.mem.sqDeq 419e450f9ecSXuan Hu memScheduler.io.fromMem.get.lcommit := io.mem.lqDeq 420596af5d2SHaojin Tang memScheduler.io.fromMem.get.wakeup := io.mem.wakeup 4212d270511Ssinsanction memScheduler.io.fromMem.get.sqDeqPtr := io.mem.sqDeqPtr 4222d270511Ssinsanction memScheduler.io.fromMem.get.lqDeqPtr := io.mem.lqDeqPtr 423730cfbc0SXuan Hu memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt 424730cfbc0SXuan Hu memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt 425730cfbc0SXuan Hu memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr 426272ec6b1SHaojin Tang require(memScheduler.io.fromMem.get.memWaitUpdateReq.robIdx.length == io.mem.stIn.length) 42706083203SHaojin Tang memScheduler.io.fromMem.get.memWaitUpdateReq.robIdx.zip(io.mem.stIn).foreach { case (sink, source) => 428730cfbc0SXuan Hu sink.valid := source.valid 42906083203SHaojin Tang sink.bits := source.bits.robIdx 430730cfbc0SXuan Hu } 43106083203SHaojin Tang memScheduler.io.fromMem.get.memWaitUpdateReq.sqIdx := DontCare // TODO 432c0be7f33SXuan Hu memScheduler.io.fromDataPath.resp := dataPath.io.toMemIQ 433fb4849e5SXuan Hu memScheduler.io.fromMem.get.ldaFeedback := io.mem.ldaIqFeedback 434fb4849e5SXuan Hu memScheduler.io.fromMem.get.staFeedback := io.mem.staIqFeedback 4358f1fa9b1Ssfencevma memScheduler.io.fromMem.get.hyuFeedback := io.mem.hyuIqFeedback 436ebb914e7Sweiding liu memScheduler.io.fromMem.get.vstuFeedback := io.mem.vstuIqFeedback 437ebb914e7Sweiding liu memScheduler.io.fromMem.get.vlduFeedback := io.mem.vlduIqFeedback 438c0be7f33SXuan Hu memScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 4399df83ee5Sxiaofeibao memScheduler.io.fromSchedulers.wakeupVecDelayed.foreach { wakeup => wakeup := iqWakeUpMappedBundleDelayed(wakeup.bits.exuIdx) } 440be9ff987Ssinsanction memScheduler.io.fromDataPath.og0Cancel := og0Cancel 441be9ff987Ssinsanction memScheduler.io.fromDataPath.og1Cancel := og1Cancel 4420f55a0d3SHaojin Tang memScheduler.io.ldCancel := io.mem.ldCancel 443f8b278aaSsinsanction memScheduler.io.fromDataPath.replaceRCIdx.get := dataPath.io.toWakeupQueueRCIdx.takeRight(params.getMemExuRCWriteSize) 444d88d4328SZiyue Zhang memScheduler.io.vlWriteBackInfo.vlFromIntIsZero := vlFromIntIsZero 445d88d4328SZiyue Zhang memScheduler.io.vlWriteBackInfo.vlFromIntIsVlmax := vlFromIntIsVlmax 446d88d4328SZiyue Zhang memScheduler.io.vlWriteBackInfo.vlFromVfIsZero := vlFromVfIsZero 447d88d4328SZiyue Zhang memScheduler.io.vlWriteBackInfo.vlFromVfIsVlmax := vlFromVfIsVlmax 44842b6cdf9Ssinsanction memScheduler.io.fromOg2Resp.get := og2ForVector.io.toMemIQOg2Resp 449730cfbc0SXuan Hu 450730cfbc0SXuan Hu vfScheduler.io.fromTop.hartId := io.fromTop.hartId 451730cfbc0SXuan Hu vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 452730cfbc0SXuan Hu vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops 453730cfbc0SXuan Hu vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack) 45460f0c5aeSxiaofeibao vfScheduler.io.fpWriteBack := 0.U.asTypeOf(vfScheduler.io.fpWriteBack) 455730cfbc0SXuan Hu vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 45645d40ce7Ssinsanction vfScheduler.io.v0WriteBack := wbDataPath.io.toV0Preg 45745d40ce7Ssinsanction vfScheduler.io.vlWriteBack := wbDataPath.io.toVlPreg 4589df83ee5Sxiaofeibao vfScheduler.io.intWriteBackDelayed := 0.U.asTypeOf(intWriteBackDelayed) 4599df83ee5Sxiaofeibao vfScheduler.io.fpWriteBackDelayed := 0.U.asTypeOf(fpWriteBackDelayed) 4609df83ee5Sxiaofeibao vfScheduler.io.vfWriteBackDelayed := vfWriteBackDelayed 4619df83ee5Sxiaofeibao vfScheduler.io.v0WriteBackDelayed := v0WriteBackDelayed 4629df83ee5Sxiaofeibao vfScheduler.io.vlWriteBackDelayed := vlWriteBackDelayed 463c0be7f33SXuan Hu vfScheduler.io.fromDataPath.resp := dataPath.io.toVfIQ 464c0be7f33SXuan Hu vfScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 4659df83ee5Sxiaofeibao vfScheduler.io.fromSchedulers.wakeupVecDelayed.foreach { wakeup => wakeup := iqWakeUpMappedBundleDelayed(wakeup.bits.exuIdx) } 466be9ff987Ssinsanction vfScheduler.io.fromDataPath.og0Cancel := og0Cancel 467be9ff987Ssinsanction vfScheduler.io.fromDataPath.og1Cancel := og1Cancel 4680f55a0d3SHaojin Tang vfScheduler.io.ldCancel := io.mem.ldCancel 469d88d4328SZiyue Zhang vfScheduler.io.vlWriteBackInfo.vlFromIntIsZero := vlFromIntIsZero 470d88d4328SZiyue Zhang vfScheduler.io.vlWriteBackInfo.vlFromIntIsVlmax := vlFromIntIsVlmax 471d88d4328SZiyue Zhang vfScheduler.io.vlWriteBackInfo.vlFromVfIsZero := vlFromVfIsZero 472d88d4328SZiyue Zhang vfScheduler.io.vlWriteBackInfo.vlFromVfIsVlmax := vlFromVfIsVlmax 47342b6cdf9Ssinsanction vfScheduler.io.fromOg2Resp.get := og2ForVector.io.toVfIQOg2Resp 474730cfbc0SXuan Hu 4757eea175bSHaojin Tang dataPath.io.hartId := io.fromTop.hartId 476730cfbc0SXuan Hu dataPath.io.flush := ctrlBlock.io.toDataPath.flush 477fb4849e5SXuan Hu 47859ef6009Sxiaofeibao-xjtu dataPath.io.fromIntIQ <> intScheduler.io.toDataPathAfterDelay 47960f0c5aeSxiaofeibao dataPath.io.fromFpIQ <> fpScheduler.io.toDataPathAfterDelay 48059ef6009Sxiaofeibao-xjtu dataPath.io.fromVfIQ <> vfScheduler.io.toDataPathAfterDelay 48159ef6009Sxiaofeibao-xjtu dataPath.io.fromMemIQ <> memScheduler.io.toDataPathAfterDelay 482730cfbc0SXuan Hu 4830f55a0d3SHaojin Tang dataPath.io.ldCancel := io.mem.ldCancel 4840f55a0d3SHaojin Tang 485730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}") 486730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}") 487730cfbc0SXuan Hu dataPath.io.fromIntWb := wbDataPath.io.toIntPreg 48860f0c5aeSxiaofeibao dataPath.io.fromFpWb := wbDataPath.io.toFpPreg 489730cfbc0SXuan Hu dataPath.io.fromVfWb := wbDataPath.io.toVfPreg 49045d40ce7Ssinsanction dataPath.io.fromV0Wb := wbDataPath.io.toV0Preg 49145d40ce7Ssinsanction dataPath.io.fromVlWb := wbDataPath.io.toVlPreg 49263d67ef3STang Haojin dataPath.io.diffIntRat.foreach(_ := ctrlBlock.io.diff_int_rat.get) 49363d67ef3STang Haojin dataPath.io.diffFpRat .foreach(_ := ctrlBlock.io.diff_fp_rat.get) 49463d67ef3STang Haojin dataPath.io.diffVecRat.foreach(_ := ctrlBlock.io.diff_vec_rat.get) 49563d67ef3STang Haojin dataPath.io.diffV0Rat .foreach(_ := ctrlBlock.io.diff_v0_rat.get) 49663d67ef3STang Haojin dataPath.io.diffVlRat .foreach(_ := ctrlBlock.io.diff_vl_rat.get) 497f8b278aaSsinsanction dataPath.io.fromBypassNetwork := bypassNetwork.io.toDataPath 498e43bb916SXuan Hu dataPath.io.fromVecExcpMod.r := vecExcpMod.o.toVPRF.r 499e43bb916SXuan Hu dataPath.io.fromVecExcpMod.w := vecExcpMod.o.toVPRF.w 500e836c770SZhaoyang You dataPath.io.topDownInfo.lqEmpty := DelayN(io.topDownInfo.lqEmpty, 2) 501e836c770SZhaoyang You dataPath.io.topDownInfo.sqEmpty := DelayN(io.topDownInfo.sqEmpty, 2) 502e836c770SZhaoyang You dataPath.io.topDownInfo.l1Miss := RegNext(io.topDownInfo.l1Miss) 503e836c770SZhaoyang You dataPath.io.topDownInfo.l2TopMiss.l2Miss := io.topDownInfo.l2TopMiss.l2Miss 504e836c770SZhaoyang You dataPath.io.topDownInfo.l2TopMiss.l3Miss := io.topDownInfo.l2TopMiss.l3Miss 505730cfbc0SXuan Hu 506c38df446SzhanglyGit og2ForVector.io.flush := ctrlBlock.io.toDataPath.flush 507c38df446SzhanglyGit og2ForVector.io.ldCancel := io.mem.ldCancel 50842b6cdf9Ssinsanction og2ForVector.io.fromOg1VfArith <> dataPath.io.toVecExu 50942b6cdf9Ssinsanction og2ForVector.io.fromOg1VecMem.zip(dataPath.io.toMemExu.zip(params.memSchdParams.get.issueBlockParams).filter(_._2.needOg2Resp).map(_._1)) 51042b6cdf9Ssinsanction .foreach { 51142b6cdf9Ssinsanction case (og1Mem, datapathMem) => og1Mem <> datapathMem 51242b6cdf9Ssinsanction } 51342b6cdf9Ssinsanction og2ForVector.io.fromOg1ImmInfo := dataPath.io.og1ImmInfo.zip(params.allExuParams).filter(_._2.needOg2).map(_._1) 514c38df446SzhanglyGit 51542b6cdf9Ssinsanction println(s"[Backend] BypassNetwork OG1 Mem Size: ${bypassNetwork.io.fromDataPath.mem.zip(params.memSchdParams.get.issueBlockParams).filterNot(_._2.needOg2Resp).size}") 51642b6cdf9Ssinsanction println(s"[Backend] BypassNetwork OG2 Mem Size: ${bypassNetwork.io.fromDataPath.mem.zip(params.memSchdParams.get.issueBlockParams).filter(_._2.needOg2Resp).size}") 51742b6cdf9Ssinsanction println(s"[Backend] bypassNetwork.io.fromDataPath.mem: ${bypassNetwork.io.fromDataPath.mem.size}, dataPath.io.toMemExu: ${dataPath.io.toMemExu.size}") 5185d2b9cadSXuan Hu bypassNetwork.io.fromDataPath.int <> dataPath.io.toIntExu 51960f0c5aeSxiaofeibao bypassNetwork.io.fromDataPath.fp <> dataPath.io.toFpExu 52042b6cdf9Ssinsanction bypassNetwork.io.fromDataPath.vf <> og2ForVector.io.toVfArithExu 52142b6cdf9Ssinsanction bypassNetwork.io.fromDataPath.mem.lazyZip(params.memSchdParams.get.issueBlockParams).lazyZip(dataPath.io.toMemExu).filterNot(_._2.needOg2Resp) 52242b6cdf9Ssinsanction .map(x => (x._1, x._3)).foreach { 52342b6cdf9Ssinsanction case (bypassMem, datapathMem) => bypassMem <> datapathMem 52442b6cdf9Ssinsanction } 52542b6cdf9Ssinsanction bypassNetwork.io.fromDataPath.mem.zip(params.memSchdParams.get.issueBlockParams).filter(_._2.needOg2Resp).map(_._1) 52642b6cdf9Ssinsanction .zip(og2ForVector.io.toVecMemExu).foreach { 52742b6cdf9Ssinsanction case (bypassMem, og2Mem) => bypassMem <> og2Mem 52842b6cdf9Ssinsanction } 529712a039eSxiaofeibao-xjtu bypassNetwork.io.fromDataPath.immInfo := dataPath.io.og1ImmInfo 53042b6cdf9Ssinsanction bypassNetwork.io.fromDataPath.immInfo.zip(params.allExuParams).filter(_._2.needOg2).map(_._1) 53142b6cdf9Ssinsanction .zip(og2ForVector.io.toBypassNetworkImmInfo).foreach { 53242b6cdf9Ssinsanction case (immInfo, og2ImmInfo) => immInfo := og2ImmInfo 533d1da1584Ssinsanction } 534102ba843Ssinsanction bypassNetwork.io.fromDataPath.rcData := dataPath.io.toBypassNetworkRCData 5355d2b9cadSXuan Hu bypassNetwork.io.fromExus.connectExuOutput(_.int)(intExuBlock.io.out) 53660f0c5aeSxiaofeibao bypassNetwork.io.fromExus.connectExuOutput(_.fp)(fpExuBlock.io.out) 5375d2b9cadSXuan Hu bypassNetwork.io.fromExus.connectExuOutput(_.vf)(vfExuBlock.io.out) 538f9f1abd7SXuan Hu 539c838dea1SXuan Hu require(bypassNetwork.io.fromExus.mem.flatten.size == io.mem.writeBack.size, 540670870b3SXuan Hu s"bypassNetwork.io.fromExus.mem.flatten.size(${bypassNetwork.io.fromExus.mem.flatten.size}: ${bypassNetwork.io.fromExus.mem.map(_.size)}, " + 541c838dea1SXuan Hu s"io.mem.writeback(${io.mem.writeBack.size})" 542670870b3SXuan Hu ) 543c838dea1SXuan Hu bypassNetwork.io.fromExus.mem.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 5445d2b9cadSXuan Hu sink.valid := source.valid 545bd3e32c1Ssinsanction sink.bits.intWen := source.bits.uop.rfWen && source.bits.isFromLoadUnit 5465d2b9cadSXuan Hu sink.bits.pdest := source.bits.uop.pdest 5475d2b9cadSXuan Hu sink.bits.data := source.bits.data 5485d2b9cadSXuan Hu } 5495d2b9cadSXuan Hu 550d8a24b06SzhanglyGit 551730cfbc0SXuan Hu intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 552730cfbc0SXuan Hu for (i <- 0 until intExuBlock.io.in.length) { 553730cfbc0SXuan Hu for (j <- 0 until intExuBlock.io.in(i).length) { 5540f55a0d3SHaojin Tang val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.int(i)(j).bits.loadDependency, io.mem.ldCancel) 555c0be7f33SXuan Hu NewPipelineConnect( 556c0be7f33SXuan Hu bypassNetwork.io.toExus.int(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire, 557c0be7f33SXuan Hu Mux( 558c0be7f33SXuan Hu bypassNetwork.io.toExus.int(i)(j).fire, 5590f55a0d3SHaojin Tang bypassNetwork.io.toExus.int(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel, 560c0be7f33SXuan Hu intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 5611f35da39Sxiaofeibao-xjtu ), 56260f0c5aeSxiaofeibao Option("bypassNetwork2intExuBlock") 563c0be7f33SXuan Hu ) 564730cfbc0SXuan Hu } 565730cfbc0SXuan Hu } 566730cfbc0SXuan Hu 567c37914a4Sxiaofeibao ctrlBlock.io.toDataPath.pcToDataPathIO <> dataPath.io.fromPcTargetMem 56881535d7bSsinsanction 569007f6122SXuan Hu private val csrin = intExuBlock.io.csrin.get 570007f6122SXuan Hu csrin.hartId := io.fromTop.hartId 5715f705224Sxiaofeibao-xjtu csrin.msiInfo.valid := RegNext(io.fromTop.msiInfo.valid) 5725f705224Sxiaofeibao-xjtu csrin.msiInfo.bits := RegEnable(io.fromTop.msiInfo.bits, io.fromTop.msiInfo.valid) 5735f705224Sxiaofeibao-xjtu csrin.clintTime.valid := RegNext(io.fromTop.clintTime.valid) 5745f705224Sxiaofeibao-xjtu csrin.clintTime.bits := RegEnable(io.fromTop.clintTime.bits, io.fromTop.clintTime.valid) 575b7a63495SNewPaulWalker csrin.l2FlushDone := RegNext(io.fromTop.l2FlushDone) 57692c61038SXuan Hu csrin.trapInstInfo := ctrlBlock.io.toCSR.trapInstInfo 577e43bb916SXuan Hu csrin.fromVecExcpMod.busy := vecExcpMod.o.status.busy 578a751b11aSchengguanghui csrin.criticalErrorState := backendCriticalError 579007f6122SXuan Hu 580730cfbc0SXuan Hu private val csrio = intExuBlock.io.csrio.get 581730cfbc0SXuan Hu csrio.hartId := io.fromTop.hartId 582730cfbc0SXuan Hu csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags 583730cfbc0SXuan Hu csrio.fpu.isIllegal := false.B // Todo: remove it 584730cfbc0SXuan Hu csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs 585ae0295f4STang Haojin csrio.vpu <> WireDefault(0.U.asTypeOf(csrio.vpu)) // Todo 586a8db15d8Sfdy 5870f423558SZiyue-Zhang val fromIntExuVsetVType = intExuBlock.io.vtype.getOrElse(0.U.asTypeOf((Valid(new VType)))) 5880f423558SZiyue-Zhang val fromVfExuVsetVType = vfExuBlock.io.vtype.getOrElse(0.U.asTypeOf((Valid(new VType)))) 5890f423558SZiyue-Zhang val fromVsetVType = Mux(fromIntExuVsetVType.valid, fromIntExuVsetVType.bits, fromVfExuVsetVType.bits) 5900f423558SZiyue-Zhang val vsetvlVType = RegEnable(fromVsetVType, 0.U.asTypeOf(new VType), fromIntExuVsetVType.valid || fromVfExuVsetVType.valid) 591d8a50338SZiyue Zhang ctrlBlock.io.toDecode.vsetvlVType := vsetvlVType 5927e4f0b19SZiyue-Zhang 5937e4f0b19SZiyue-Zhang val commitVType = ctrlBlock.io.robio.commitVType.vtype 5947e4f0b19SZiyue-Zhang val hasVsetvl = ctrlBlock.io.robio.commitVType.hasVsetvl 5957e4f0b19SZiyue-Zhang val vtype = VType.toVtypeStruct(Mux(hasVsetvl, vsetvlVType, commitVType.bits)).asUInt 596d8a50338SZiyue Zhang 597d8a50338SZiyue Zhang // csr not store the value of vl, so when using difftest we assign the value of vl to debugVl 598d8a50338SZiyue Zhang val debugVl_s0 = WireInit(UInt(VlData().dataWidth.W), 0.U) 599d8a50338SZiyue Zhang val debugVl_s1 = WireInit(UInt(VlData().dataWidth.W), 0.U) 60063d67ef3STang Haojin debugVl_s0 := dataPath.io.diffVl.getOrElse(0.U.asTypeOf(UInt(VlData().dataWidth.W))) 601d8a50338SZiyue Zhang debugVl_s1 := RegNext(debugVl_s0) 60201ceb97cSZiyue Zhang csrio.vpu.set_vxsat := ctrlBlock.io.robio.csr.vxsat 603e703da02SzhanglyGit csrio.vpu.set_vstart.valid := ctrlBlock.io.robio.csr.vstart.valid 604e703da02SzhanglyGit csrio.vpu.set_vstart.bits := ctrlBlock.io.robio.csr.vstart.bits 6055110577fSZiyue Zhang ctrlBlock.io.toDecode.vstart := csrio.vpu.vstart 606b7d9e8d5Sxiaofeibao-xjtu //Todo here need change design 6077e4f0b19SZiyue-Zhang csrio.vpu.set_vtype.valid := commitVType.valid 6087e4f0b19SZiyue-Zhang csrio.vpu.set_vtype.bits := ZeroExt(vtype, XLEN) 609d8a50338SZiyue Zhang csrio.vpu.vl := ZeroExt(debugVl_s1, XLEN) 6103af3539fSZiyue Zhang csrio.vpu.dirty_vs := ctrlBlock.io.robio.csr.dirty_vs 611730cfbc0SXuan Hu csrio.exception := ctrlBlock.io.robio.exception 612c1b28b66STang Haojin csrio.robDeqPtr := ctrlBlock.io.robio.robDeqPtr 613e25e4d90SXuan Hu csrio.memExceptionVAddr := io.mem.exceptionAddr.vaddr 614e25e4d90SXuan Hu csrio.memExceptionGPAddr := io.mem.exceptionAddr.gpaddr 615ad415ae0SXiaokun-Pei csrio.memExceptionIsForVSnonLeafPTE := io.mem.exceptionAddr.isForVSnonLeafPTE 6165f705224Sxiaofeibao-xjtu csrio.externalInterrupt := RegNext(io.fromTop.externalInterrupt) 617730cfbc0SXuan Hu csrio.perf <> io.perf 61886e04cc0SHaojin Tang csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr 61986e04cc0SHaojin Tang csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo 620730cfbc0SXuan Hu private val fenceio = intExuBlock.io.fenceio.get 621730cfbc0SXuan Hu io.fenceio <> fenceio 622730cfbc0SXuan Hu 62360f0c5aeSxiaofeibao // to fpExuBlock 62460f0c5aeSxiaofeibao fpExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 62560f0c5aeSxiaofeibao for (i <- 0 until fpExuBlock.io.in.length) { 62660f0c5aeSxiaofeibao for (j <- 0 until fpExuBlock.io.in(i).length) { 62760f0c5aeSxiaofeibao val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.fp(i)(j).bits.loadDependency, io.mem.ldCancel) 62860f0c5aeSxiaofeibao NewPipelineConnect( 62960f0c5aeSxiaofeibao bypassNetwork.io.toExus.fp(i)(j), fpExuBlock.io.in(i)(j), fpExuBlock.io.in(i)(j).fire, 63060f0c5aeSxiaofeibao Mux( 63160f0c5aeSxiaofeibao bypassNetwork.io.toExus.fp(i)(j).fire, 63260f0c5aeSxiaofeibao bypassNetwork.io.toExus.fp(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel, 63360f0c5aeSxiaofeibao fpExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 63460f0c5aeSxiaofeibao ), 63560f0c5aeSxiaofeibao Option("bypassNetwork2fpExuBlock") 63660f0c5aeSxiaofeibao ) 63760f0c5aeSxiaofeibao } 63860f0c5aeSxiaofeibao } 63960f0c5aeSxiaofeibao 640730cfbc0SXuan Hu vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 641730cfbc0SXuan Hu for (i <- 0 until vfExuBlock.io.in.size) { 642730cfbc0SXuan Hu for (j <- 0 until vfExuBlock.io.in(i).size) { 6430f55a0d3SHaojin Tang val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.vf(i)(j).bits.loadDependency, io.mem.ldCancel) 644c0be7f33SXuan Hu NewPipelineConnect( 645c0be7f33SXuan Hu bypassNetwork.io.toExus.vf(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire, 646c0be7f33SXuan Hu Mux( 647c0be7f33SXuan Hu bypassNetwork.io.toExus.vf(i)(j).fire, 6480f55a0d3SHaojin Tang bypassNetwork.io.toExus.vf(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel, 649c0be7f33SXuan Hu vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 6501f35da39Sxiaofeibao-xjtu ), 65160f0c5aeSxiaofeibao Option("bypassNetwork2vfExuBlock") 652c0be7f33SXuan Hu ) 65385f2adbfSsinsanction 654730cfbc0SXuan Hu } 655730cfbc0SXuan Hu } 656b0507133SHaojin Tang 657b0507133SHaojin Tang intExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 65860f0c5aeSxiaofeibao fpExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 65960f0c5aeSxiaofeibao fpExuBlock.io.vxrm.foreach(_ := csrio.vpu.vxrm) 660b6b11f60SXuan Hu vfExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 66117985fbbSZiyue Zhang vfExuBlock.io.vxrm.foreach(_ := csrio.vpu.vxrm) 662730cfbc0SXuan Hu 663730cfbc0SXuan Hu wbDataPath.io.flush := ctrlBlock.io.redirect 664730cfbc0SXuan Hu wbDataPath.io.fromTop.hartId := io.fromTop.hartId 665730cfbc0SXuan Hu wbDataPath.io.fromIntExu <> intExuBlock.io.out 66660f0c5aeSxiaofeibao wbDataPath.io.fromFpExu <> fpExuBlock.io.out 667730cfbc0SXuan Hu wbDataPath.io.fromVfExu <> vfExuBlock.io.out 668c838dea1SXuan Hu wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 669730cfbc0SXuan Hu sink.valid := source.valid 670730cfbc0SXuan Hu source.ready := sink.ready 671618b89e6Slewislzh sink.bits.data := VecInit(Seq.fill(sink.bits.params.wbPathNum)(source.bits.data)) 672730cfbc0SXuan Hu sink.bits.pdest := source.bits.uop.pdest 673730cfbc0SXuan Hu sink.bits.robIdx := source.bits.uop.robIdx 674730cfbc0SXuan Hu sink.bits.intWen.foreach(_ := source.bits.uop.rfWen) 675730cfbc0SXuan Hu sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen) 676730cfbc0SXuan Hu sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen) 677db7becb6Sxiaofeibao sink.bits.v0Wen.foreach(_ := source.bits.uop.v0Wen) 678db7becb6Sxiaofeibao sink.bits.vlWen.foreach(_ := source.bits.uop.vlWen) 679730cfbc0SXuan Hu sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec) 680730cfbc0SXuan Hu sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe) 681730cfbc0SXuan Hu sink.bits.replay.foreach(_ := source.bits.uop.replayInst) 682730cfbc0SXuan Hu sink.bits.debug := source.bits.debug 68396e858baSXuan Hu sink.bits.debugInfo := source.bits.uop.debugInfo 6841592abd1SYan Xu sink.bits.debug_seqNum := source.bits.uop.debug_seqNum 685730cfbc0SXuan Hu sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx) 686730cfbc0SXuan Hu sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx) 6879d8d7860SXuan Hu sink.bits.predecodeInfo.foreach(_ := source.bits.uop.preDecodeInfo) 68898d3cb16SXuan Hu sink.bits.vls.foreach(x => { 6897ca7ad94Szhanglinjuan x.vdIdx := source.bits.vdIdx.get 690dbc1c7fcSzhanglinjuan x.vdIdxInField := source.bits.vdIdxInField.get 69198d3cb16SXuan Hu x.vpu := source.bits.uop.vpu 69298d3cb16SXuan Hu x.oldVdPsrc := source.bits.uop.psrc(2) 69392c6b7edSzhanglinjuan x.isIndexed := VlduType.isIndexed(source.bits.uop.fuOpType) 694c90e3eacSZiyue Zhang x.isMasked := VlduType.isMasked(source.bits.uop.fuOpType) 695e43bb916SXuan Hu x.isStrided := VlduType.isStrided(source.bits.uop.fuOpType) 696e43bb916SXuan Hu x.isWhole := VlduType.isWhole(source.bits.uop.fuOpType) 697e43bb916SXuan Hu x.isVecLoad := VlduType.isVecLd(source.bits.uop.fuOpType) 698e43bb916SXuan Hu x.isVlm := VlduType.isMasked(source.bits.uop.fuOpType) && VlduType.isVecLd(source.bits.uop.fuOpType) 69998d3cb16SXuan Hu }) 700f7af4c74Schengguanghui sink.bits.trigger.foreach(_ := source.bits.uop.trigger) 701730cfbc0SXuan Hu } 702e43bb916SXuan Hu wbDataPath.io.fromCSR.vstart := csrio.vpu.vstart 703e43bb916SXuan Hu 704e43bb916SXuan Hu vecExcpMod.i.fromExceptionGen := ctrlBlock.io.toVecExcpMod.excpInfo 705e43bb916SXuan Hu vecExcpMod.i.fromRab.logicPhyRegMap := ctrlBlock.io.toVecExcpMod.logicPhyRegMap 706e43bb916SXuan Hu vecExcpMod.i.fromRat := ctrlBlock.io.toVecExcpMod.ratOldPest 707e43bb916SXuan Hu vecExcpMod.i.fromVprf := dataPath.io.toVecExcpMod 708730cfbc0SXuan Hu 709730cfbc0SXuan Hu // to mem 7100f55a0d3SHaojin Tang private val memIssueParams = params.memSchdParams.get.issueBlockParams 7118a66c02cSXuan Hu private val memExuBlocksHasLDU = memIssueParams.map(_.exuBlockParams.map(x => x.hasLoadFu || x.hasHyldaFu)) 7127e471bf8SXuan Hu private val memExuBlocksHasVecLoad = memIssueParams.map(_.exuBlockParams.map(x => x.hasVLoadFu)) 713b133b458SXuan Hu println(s"[Backend] memExuBlocksHasLDU: $memExuBlocksHasLDU") 7147e471bf8SXuan Hu println(s"[Backend] memExuBlocksHasVecLoad: $memExuBlocksHasVecLoad") 715b133b458SXuan Hu 7165d2b9cadSXuan Hu private val toMem = Wire(bypassNetwork.io.toExus.mem.cloneType) 7175d2b9cadSXuan Hu for (i <- toMem.indices) { 7185d2b9cadSXuan Hu for (j <- toMem(i).indices) { 7190f55a0d3SHaojin Tang val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.mem(i)(j).bits.loadDependency, io.mem.ldCancel) 7203bba894fSxiaofeibao val needIssueTimeout = memExuBlocksHasLDU(i)(j) || memExuBlocksHasVecLoad(i)(j) 7210f55a0d3SHaojin Tang val issueTimeout = 7223bba894fSxiaofeibao if (needIssueTimeout) 7230f55a0d3SHaojin Tang Counter(0 until 16, toMem(i)(j).valid && !toMem(i)(j).fire, bypassNetwork.io.toExus.mem(i)(j).fire)._2 7240f55a0d3SHaojin Tang else 7250f55a0d3SHaojin Tang false.B 7260f55a0d3SHaojin Tang 727ecfc6f16SXuan Hu if (memScheduler.io.loadFinalIssueResp(i).nonEmpty && memExuBlocksHasLDU(i)(j)) { 7280f55a0d3SHaojin Tang memScheduler.io.loadFinalIssueResp(i)(j).valid := issueTimeout 7290f55a0d3SHaojin Tang memScheduler.io.loadFinalIssueResp(i)(j).bits.fuType := toMem(i)(j).bits.fuType 730f08a822fSzhanglyGit memScheduler.io.loadFinalIssueResp(i)(j).bits.resp := RespType.block 7310f55a0d3SHaojin Tang memScheduler.io.loadFinalIssueResp(i)(j).bits.robIdx := toMem(i)(j).bits.robIdx 732aa2bcc31SzhanglyGit memScheduler.io.loadFinalIssueResp(i)(j).bits.uopIdx.foreach(_ := toMem(i)(j).bits.vpu.get.vuopIdx) 73338f78b5dSxiaofeibao-xjtu memScheduler.io.loadFinalIssueResp(i)(j).bits.sqIdx.foreach(_ := toMem(i)(j).bits.sqIdx.get) 73428ac1c16Sxiaofeibao-xjtu memScheduler.io.loadFinalIssueResp(i)(j).bits.lqIdx.foreach(_ := toMem(i)(j).bits.lqIdx.get) 7350f55a0d3SHaojin Tang } 7360f55a0d3SHaojin Tang 7373bba894fSxiaofeibao if (memScheduler.io.vecLoadFinalIssueResp(i).nonEmpty && memExuBlocksHasVecLoad(i)(j)) { 7383bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).valid := issueTimeout 7393bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.fuType := toMem(i)(j).bits.fuType 7403bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.resp := RespType.block 7413bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.robIdx := toMem(i)(j).bits.robIdx 7423bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.uopIdx.foreach(_ := toMem(i)(j).bits.vpu.get.vuopIdx) 7433bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.sqIdx.foreach(_ := toMem(i)(j).bits.sqIdx.get) 7443bba894fSxiaofeibao memScheduler.io.vecLoadFinalIssueResp(i)(j).bits.lqIdx.foreach(_ := toMem(i)(j).bits.lqIdx.get) 7453bba894fSxiaofeibao } 7463bba894fSxiaofeibao 7475d2b9cadSXuan Hu NewPipelineConnect( 7485d2b9cadSXuan Hu bypassNetwork.io.toExus.mem(i)(j), toMem(i)(j), toMem(i)(j).fire, 7495d2b9cadSXuan Hu Mux( 7505d2b9cadSXuan Hu bypassNetwork.io.toExus.mem(i)(j).fire, 7510f55a0d3SHaojin Tang bypassNetwork.io.toExus.mem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel, 7520f55a0d3SHaojin Tang toMem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || issueTimeout 7531f35da39Sxiaofeibao-xjtu ), 7541f35da39Sxiaofeibao-xjtu Option("bypassNetwork2toMemExus") 7555d2b9cadSXuan Hu ) 756e8800897SXuan Hu 757c838dea1SXuan Hu if (memScheduler.io.memAddrIssueResp(i).nonEmpty && memExuBlocksHasLDU(i)(j)) { 7585b35049aSHaojin Tang memScheduler.io.memAddrIssueResp(i)(j).valid := toMem(i)(j).fire && FuType.isLoad(toMem(i)(j).bits.fuType) 759e8800897SXuan Hu memScheduler.io.memAddrIssueResp(i)(j).bits.fuType := toMem(i)(j).bits.fuType 760e8800897SXuan Hu memScheduler.io.memAddrIssueResp(i)(j).bits.robIdx := toMem(i)(j).bits.robIdx 76138f78b5dSxiaofeibao-xjtu memScheduler.io.memAddrIssueResp(i)(j).bits.sqIdx.foreach(_ := toMem(i)(j).bits.sqIdx.get) 76228ac1c16Sxiaofeibao-xjtu memScheduler.io.memAddrIssueResp(i)(j).bits.lqIdx.foreach(_ := toMem(i)(j).bits.lqIdx.get) 763145dfe39SXuan Hu memScheduler.io.memAddrIssueResp(i)(j).bits.resp := RespType.success // for load inst, firing at toMem means issuing successfully 764e8800897SXuan Hu } 7657e471bf8SXuan Hu 7667e471bf8SXuan Hu if (memScheduler.io.vecLoadIssueResp(i).nonEmpty && memExuBlocksHasVecLoad(i)(j)) { 7677e471bf8SXuan Hu memScheduler.io.vecLoadIssueResp(i)(j) match { 7687e471bf8SXuan Hu case resp => 769136f6497SXiaokun-Pei resp.valid := toMem(i)(j).fire && VlduType.isVecLd(toMem(i)(j).bits.fuOpType) 7707e471bf8SXuan Hu resp.bits.fuType := toMem(i)(j).bits.fuType 7717e471bf8SXuan Hu resp.bits.robIdx := toMem(i)(j).bits.robIdx 7727e471bf8SXuan Hu resp.bits.uopIdx.get := toMem(i)(j).bits.vpu.get.vuopIdx 77338f78b5dSxiaofeibao-xjtu resp.bits.sqIdx.get := toMem(i)(j).bits.sqIdx.get 77428ac1c16Sxiaofeibao-xjtu resp.bits.lqIdx.get := toMem(i)(j).bits.lqIdx.get 7757e471bf8SXuan Hu resp.bits.resp := RespType.success 7767e471bf8SXuan Hu } 77738f78b5dSxiaofeibao-xjtu if (backendParams.debugEn){ 7787e471bf8SXuan Hu dontTouch(memScheduler.io.vecLoadIssueResp(i)(j)) 7797e471bf8SXuan Hu } 7805d2b9cadSXuan Hu } 7815d2b9cadSXuan Hu } 78238f78b5dSxiaofeibao-xjtu } 7835d2b9cadSXuan Hu 784730cfbc0SXuan Hu io.mem.redirect := ctrlBlock.io.redirect 785c838dea1SXuan Hu io.mem.issueUops.zip(toMem.flatten).foreach { case (sink, source) => 786c686adcdSYinan Xu val enableMdp = Constantin.createRecord("EnableMdp", true) 787730cfbc0SXuan Hu sink.valid := source.valid 788730cfbc0SXuan Hu source.ready := sink.ready 789730cfbc0SXuan Hu sink.bits.iqIdx := source.bits.iqIdx 790730cfbc0SXuan Hu sink.bits.isFirstIssue := source.bits.isFirstIssue 791730cfbc0SXuan Hu sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 792730cfbc0SXuan Hu sink.bits.src := 0.U.asTypeOf(sink.bits.src) 793730cfbc0SXuan Hu sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r} 794730cfbc0SXuan Hu sink.bits.uop.fuType := source.bits.fuType 795730cfbc0SXuan Hu sink.bits.uop.fuOpType := source.bits.fuOpType 796730cfbc0SXuan Hu sink.bits.uop.imm := source.bits.imm 797730cfbc0SXuan Hu sink.bits.uop.robIdx := source.bits.robIdx 798730cfbc0SXuan Hu sink.bits.uop.pdest := source.bits.pdest 799730cfbc0SXuan Hu sink.bits.uop.rfWen := source.bits.rfWen.getOrElse(false.B) 800730cfbc0SXuan Hu sink.bits.uop.fpWen := source.bits.fpWen.getOrElse(false.B) 801730cfbc0SXuan Hu sink.bits.uop.vecWen := source.bits.vecWen.getOrElse(false.B) 802e4355ab5Sxiaofeibao sink.bits.uop.v0Wen := source.bits.v0Wen.getOrElse(false.B) 803e4355ab5Sxiaofeibao sink.bits.uop.vlWen := source.bits.vlWen.getOrElse(false.B) 804730cfbc0SXuan Hu sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B) 805a2fa0ad9Sxiaofeibao sink.bits.uop.pc := source.bits.pc.getOrElse(0.U) + (source.bits.ftqOffset.getOrElse(0.U) << instOffsetBits) 8061548ca99SHaojin Tang sink.bits.uop.loadWaitBit := Mux(enableMdp, source.bits.loadWaitBit.getOrElse(false.B), false.B) 8071548ca99SHaojin Tang sink.bits.uop.waitForRobIdx := Mux(enableMdp, source.bits.waitForRobIdx.getOrElse(0.U.asTypeOf(new RobPtr)), 0.U.asTypeOf(new RobPtr)) 80859a1db8aSHaojin Tang sink.bits.uop.storeSetHit := Mux(enableMdp, source.bits.storeSetHit.getOrElse(false.B), false.B) 80959a1db8aSHaojin Tang sink.bits.uop.loadWaitStrict := Mux(enableMdp, source.bits.loadWaitStrict.getOrElse(false.B), false.B) 81059a1db8aSHaojin Tang sink.bits.uop.ssid := Mux(enableMdp, source.bits.ssid.getOrElse(0.U(SSIDWidth.W)), 0.U(SSIDWidth.W)) 811730cfbc0SXuan Hu sink.bits.uop.lqIdx := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 812730cfbc0SXuan Hu sink.bits.uop.sqIdx := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 813730cfbc0SXuan Hu sink.bits.uop.ftqPtr := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr)) 814730cfbc0SXuan Hu sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U) 81596e858baSXuan Hu sink.bits.uop.debugInfo := source.bits.perfDebugInfo 8161592abd1SYan Xu sink.bits.uop.debug_seqNum := source.bits.debug_seqNum 817f19cc441Szhanglinjuan sink.bits.uop.vpu := source.bits.vpu.getOrElse(0.U.asTypeOf(new VPUCtrlSignals)) 8189d8d7860SXuan Hu sink.bits.uop.preDecodeInfo := source.bits.preDecode.getOrElse(0.U.asTypeOf(new PreDecodeInfo)) 8196dbb4e08SXuan Hu sink.bits.uop.numLsElem := source.bits.numLsElem.getOrElse(0.U) // Todo: remove this bundle, keep only the one below 8206dbb4e08SXuan Hu sink.bits.flowNum.foreach(_ := source.bits.numLsElem.get) 821730cfbc0SXuan Hu } 822730cfbc0SXuan Hu io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch) 823730cfbc0SXuan Hu io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm) 824730cfbc0SXuan Hu io.mem.tlbCsr := csrio.tlb 825730cfbc0SXuan Hu io.mem.csrCtrl := csrio.customCtrl 826730cfbc0SXuan Hu io.mem.sfence := fenceio.sfence 827730cfbc0SXuan Hu io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType) 82831c51290Szhanglinjuan io.mem.isVlsException := ctrlBlock.io.robio.exception.bits.vls 82917b21f45SHaojin Tang 8306ce10964SXuan Hu io.mem.storePcRead.zipWithIndex.foreach { case (storePcRead, i) => 8316ce10964SXuan Hu storePcRead := ctrlBlock.io.memStPcRead(i).data 83254c6d89dSxiaofeibao-xjtu ctrlBlock.io.memStPcRead(i).valid := io.mem.issueSta(i).valid 833b133b458SXuan Hu ctrlBlock.io.memStPcRead(i).ptr := io.mem.issueSta(i).bits.uop.ftqPtr 834b133b458SXuan Hu ctrlBlock.io.memStPcRead(i).offset := io.mem.issueSta(i).bits.uop.ftqOffset 8356ce10964SXuan Hu } 8366ce10964SXuan Hu 837b133b458SXuan Hu io.mem.hyuPcRead.zipWithIndex.foreach( { case (hyuPcRead, i) => 838b133b458SXuan Hu hyuPcRead := ctrlBlock.io.memHyPcRead(i).data 83954c6d89dSxiaofeibao-xjtu ctrlBlock.io.memHyPcRead(i).valid := io.mem.issueHylda(i).valid 840670870b3SXuan Hu ctrlBlock.io.memHyPcRead(i).ptr := io.mem.issueHylda(i).bits.uop.ftqPtr 841670870b3SXuan Hu ctrlBlock.io.memHyPcRead(i).offset := io.mem.issueHylda(i).bits.uop.ftqOffset 842b133b458SXuan Hu }) 843b133b458SXuan Hu 84417b21f45SHaojin Tang ctrlBlock.io.robio.robHeadLsIssue := io.mem.issueUops.map(deq => deq.fire && deq.bits.uop.robIdx === ctrlBlock.io.robio.robDeqPtr).reduce(_ || _) 84517b21f45SHaojin Tang 846730cfbc0SXuan Hu // mem io 847730cfbc0SXuan Hu io.mem.robLsqIO <> ctrlBlock.io.robio.lsq 8481bf9a598SAnzo io.mem.storeDebugInfo <> ctrlBlock.io.robio.storeDebugInfo 849730cfbc0SXuan Hu 850730cfbc0SXuan Hu io.frontendSfence := fenceio.sfence 851730cfbc0SXuan Hu io.frontendTlbCsr := csrio.tlb 852730cfbc0SXuan Hu io.frontendCsrCtrl := csrio.customCtrl 853730cfbc0SXuan Hu 854730cfbc0SXuan Hu io.tlb <> csrio.tlb 855730cfbc0SXuan Hu 856730cfbc0SXuan Hu io.csrCustomCtrl := csrio.customCtrl 857730cfbc0SXuan Hu 85891970642STang Haojin io.toTop.cpuHalted := ctrlBlock.io.toTop.cpuHalt 85936a293c0SHaojin Tang 8604907ec88Schengguanghui io.traceCoreInterface <> ctrlBlock.io.traceCoreInterface 8614907ec88Schengguanghui 8626ce10964SXuan Hu io.debugTopDown.fromRob := ctrlBlock.io.debugTopDown.fromRob 8636ce10964SXuan Hu ctrlBlock.io.debugTopDown.fromCore := io.debugTopDown.fromCore 8646ce10964SXuan Hu 8656ce10964SXuan Hu io.debugRolling := ctrlBlock.io.debugRolling 8666ce10964SXuan Hu 867e836c770SZhaoyang You io.topDownInfo.noUopsIssued := RegNext(dataPath.io.topDownInfo.noUopsIssued) 868e836c770SZhaoyang You 8694b2c87baS梁森 Liang Sen private val cg = ClockGate.genTeSrc 8704b2c87baS梁森 Liang Sen dontTouch(cg) 8714b2c87baS梁森 Liang Sen if(hasMbist) { 872*30f35717Scz4e cg.cgen := io.dft.get.cgen 8734b2c87baS梁森 Liang Sen } else { 8744b2c87baS梁森 Liang Sen cg.cgen := false.B 8754b2c87baS梁森 Liang Sen } 8764b2c87baS梁森 Liang Sen 8778d081717Sszw_kaixin if(backendParams.debugEn) { 878730cfbc0SXuan Hu dontTouch(memScheduler.io) 879730cfbc0SXuan Hu dontTouch(dataPath.io.toMemExu) 880730cfbc0SXuan Hu dontTouch(wbDataPath.io.fromMemExu) 881730cfbc0SXuan Hu } 882e1a85e9fSchengguanghui 883f55cdaabSzhanglinjuan // reset tree 884f55cdaabSzhanglinjuan if (p(DebugOptionsKey).ResetGen) { 885f55cdaabSzhanglinjuan val rightResetTree = ResetGenNode(Seq( 886f55cdaabSzhanglinjuan ModuleNode(dataPath), 887f55cdaabSzhanglinjuan ModuleNode(intExuBlock), 888f55cdaabSzhanglinjuan ModuleNode(fpExuBlock), 889f55cdaabSzhanglinjuan ModuleNode(vfExuBlock), 890f55cdaabSzhanglinjuan ModuleNode(bypassNetwork), 891f55cdaabSzhanglinjuan ModuleNode(wbDataPath) 892f55cdaabSzhanglinjuan )) 893f55cdaabSzhanglinjuan val leftResetTree = ResetGenNode(Seq( 894f55cdaabSzhanglinjuan ModuleNode(intScheduler), 895f55cdaabSzhanglinjuan ModuleNode(fpScheduler), 896f55cdaabSzhanglinjuan ModuleNode(vfScheduler), 897f55cdaabSzhanglinjuan ModuleNode(memScheduler), 898f55cdaabSzhanglinjuan ModuleNode(og2ForVector), 899f55cdaabSzhanglinjuan ModuleNode(wbFuBusyTable), 900f55cdaabSzhanglinjuan ResetGenNode(Seq( 901f55cdaabSzhanglinjuan ModuleNode(ctrlBlock), 902233f2ad0Szhanglinjuan // ResetGenNode(Seq( 903f55cdaabSzhanglinjuan CellNode(io.frontendReset) 904233f2ad0Szhanglinjuan // )) 905f55cdaabSzhanglinjuan )) 906f55cdaabSzhanglinjuan )) 907*30f35717Scz4e ResetGen(leftResetTree, reset, sim = false, io.dft_reset) 908*30f35717Scz4e ResetGen(rightResetTree, reset, sim = false, io.dft_reset) 909f55cdaabSzhanglinjuan } else { 910f55cdaabSzhanglinjuan io.frontendReset := DontCare 911f55cdaabSzhanglinjuan } 912f55cdaabSzhanglinjuan 913f55cdaabSzhanglinjuan // perf events 914e1a85e9fSchengguanghui val pfevent = Module(new PFEvent) 915e1a85e9fSchengguanghui pfevent.io.distribute_csr := RegNext(csrio.customCtrl.distribute_csr) 916e1a85e9fSchengguanghui val csrevents = pfevent.io.hpmevent.slice(8,16) 917e1a85e9fSchengguanghui 918e1a85e9fSchengguanghui val ctrlBlockPerf = ctrlBlock.getPerfEvents 919e1a85e9fSchengguanghui val intSchedulerPerf = intScheduler.asInstanceOf[SchedulerArithImp].getPerfEvents 920e1a85e9fSchengguanghui val fpSchedulerPerf = fpScheduler.asInstanceOf[SchedulerArithImp].getPerfEvents 921e1a85e9fSchengguanghui val vecSchedulerPerf = vfScheduler.asInstanceOf[SchedulerArithImp].getPerfEvents 922e1a85e9fSchengguanghui val memSchedulerPerf = memScheduler.asInstanceOf[SchedulerMemImp].getPerfEvents 923e836c770SZhaoyang You val dataPathPerf = dataPath.getPerfEvents 924e1a85e9fSchengguanghui 925e1a85e9fSchengguanghui val perfBackend = Seq() 926e1a85e9fSchengguanghui // let index = 0 be no event 927e836c770SZhaoyang You val allPerfEvents = Seq(("noEvent", 0.U)) ++ ctrlBlockPerf ++ dataPathPerf ++ 928e836c770SZhaoyang You intSchedulerPerf ++ fpSchedulerPerf ++ vecSchedulerPerf ++ memSchedulerPerf ++ perfBackend 929e1a85e9fSchengguanghui 930e1a85e9fSchengguanghui 931e1a85e9fSchengguanghui if (printEventCoding) { 932e1a85e9fSchengguanghui for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 933e1a85e9fSchengguanghui println("backend perfEvents Set", name, inc, i) 934e1a85e9fSchengguanghui } 935e1a85e9fSchengguanghui } 936e1a85e9fSchengguanghui 937e1a85e9fSchengguanghui val allPerfInc = allPerfEvents.map(_._2.asTypeOf(new PerfEvent)) 938e1a85e9fSchengguanghui val perfEvents = HPerfMonitor(csrevents, allPerfInc).getPerfEvents 939e1a85e9fSchengguanghui csrio.perf.perfEventsBackend := VecInit(perfEvents.map(_._2.asTypeOf(new PerfEvent))) 94085a8d7caSZehao Liu 94185a8d7caSZehao Liu val ctrlBlockError = ctrlBlock.getCriticalErrors 94285a8d7caSZehao Liu val intExuBlockError = intExuBlock.getCriticalErrors 94385a8d7caSZehao Liu val criticalErrors = ctrlBlockError ++ intExuBlockError 94485a8d7caSZehao Liu 94585a8d7caSZehao Liu if (printCriticalError) { 94685a8d7caSZehao Liu for (((name, error), _) <- criticalErrors.zipWithIndex) { 94785a8d7caSZehao Liu XSError(error, s"critical error: $name \n") 94885a8d7caSZehao Liu } 94985a8d7caSZehao Liu } 95085a8d7caSZehao Liu 95185a8d7caSZehao Liu // expand to collect frontend/memblock/L2 critical errors 952a751b11aSchengguanghui backendCriticalError := criticalErrors.map(_._2).reduce(_ || _) 95385a8d7caSZehao Liu 954a751b11aSchengguanghui io.toTop.cpuCriticalError := csrio.criticalErrorState 9558cfc24b2STang Haojin io.toTop.msiAck := csrio.msiAck 9568d081717Sszw_kaixin} 957730cfbc0SXuan Hu 958730cfbc0SXuan Huclass BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 95911ed75efSXuan Hu // Since fast load replay always use load unit 0, Backend flips two load port to avoid conflicts 96011ed75efSXuan Hu val flippedLda = true 96168d13085SXuan Hu // params alias 96268d13085SXuan Hu private val LoadQueueSize = VirtualLoadQueueSize 963730cfbc0SXuan Hu // In/Out // Todo: split it into one-direction bundle 964730cfbc0SXuan Hu val lsqEnqIO = Flipped(new LsqEnqIO) 965730cfbc0SXuan Hu val robLsqIO = new RobLsqIO 9667b753bebSXuan Hu val ldaIqFeedback = Vec(params.LduCnt, Flipped(new MemRSFeedbackIO)) 9677b753bebSXuan Hu val staIqFeedback = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO)) 9688f1fa9b1Ssfencevma val hyuIqFeedback = Vec(params.HyuCnt, Flipped(new MemRSFeedbackIO)) 969fd490615Sweiding liu val vstuIqFeedback = Flipped(Vec(params.VstuCnt, new MemRSFeedbackIO(isVector = true))) 970fd490615Sweiding liu val vlduIqFeedback = Flipped(Vec(params.VlduCnt, new MemRSFeedbackIO(isVector = true))) 971ada4760fSXuan Hu val ldCancel = Vec(params.LdExuCnt, Input(new LoadCancelIO)) 972596af5d2SHaojin Tang val wakeup = Vec(params.LdExuCnt, Flipped(Valid(new DynInst))) 9736ce10964SXuan Hu val storePcRead = Vec(params.StaCnt, Output(UInt(VAddrBits.W))) 974b133b458SXuan Hu val hyuPcRead = Vec(params.HyuCnt, Output(UInt(VAddrBits.W))) 975730cfbc0SXuan Hu // Input 976f9f1abd7SXuan Hu val writebackLda = Vec(params.LduCnt, Flipped(DecoupledIO(new MemExuOutput))) 977f9f1abd7SXuan Hu val writebackSta = Vec(params.StaCnt, Flipped(DecoupledIO(new MemExuOutput))) 978f9f1abd7SXuan Hu val writebackStd = Vec(params.StdCnt, Flipped(DecoupledIO(new MemExuOutput))) 9793ad3585eSXuan Hu val writebackHyuLda = Vec(params.HyuCnt, Flipped(DecoupledIO(new MemExuOutput))) 9803ad3585eSXuan Hu val writebackHyuSta = Vec(params.HyuCnt, Flipped(DecoupledIO(new MemExuOutput))) 98120a5248fSzhanglinjuan val writebackVldu = Vec(params.VlduCnt, Flipped(DecoupledIO(new MemExuOutput(true)))) 982730cfbc0SXuan Hu 983730cfbc0SXuan Hu val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool())) 984272ec6b1SHaojin Tang val stIn = Input(Vec(params.StaExuCnt, ValidIO(new DynInst()))) 985730cfbc0SXuan Hu val memoryViolation = Flipped(ValidIO(new Redirect)) 986e25e4d90SXuan Hu val exceptionAddr = Input(new Bundle { 987db6cfb5aSHaoyuan Feng val vaddr = UInt(XLEN.W) 988db6cfb5aSHaoyuan Feng val gpaddr = UInt(XLEN.W) 989ad415ae0SXiaokun-Pei val isForVSnonLeafPTE = Bool() 990e25e4d90SXuan Hu }) 99160f1a5feSzhanglyGit val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 99260f1a5feSzhanglyGit val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W)) 9932d270511Ssinsanction val sqDeqPtr = Input(new SqPtr) 9942d270511Ssinsanction val lqDeqPtr = Input(new LqPtr) 995730cfbc0SXuan Hu 99660f1a5feSzhanglyGit val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 997730cfbc0SXuan Hu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 998730cfbc0SXuan Hu 99917b21f45SHaojin Tang val lqCanAccept = Input(Bool()) 100017b21f45SHaojin Tang val sqCanAccept = Input(Bool()) 100117b21f45SHaojin Tang 1002a81cda24Ssfencevma val otherFastWakeup = Flipped(Vec(params.LduCnt + params.HyuCnt, ValidIO(new DynInst))) 1003730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr()) 1004730cfbc0SXuan Hu 1005870f462dSXuan Hu val debugLS = Flipped(Output(new DebugLSIO)) 1006870f462dSXuan Hu 10076810d1e8Ssfencevma val lsTopdownInfo = Vec(params.LduCnt + params.HyuCnt, Flipped(Output(new LsTopdownInfo))) 1008730cfbc0SXuan Hu // Output 1009730cfbc0SXuan Hu val redirect = ValidIO(new Redirect) // rob flush MemBlock 1010b133b458SXuan Hu val issueLda = MixedVec(Seq.fill(params.LduCnt)(DecoupledIO(new MemExuInput()))) 1011b133b458SXuan Hu val issueSta = MixedVec(Seq.fill(params.StaCnt)(DecoupledIO(new MemExuInput()))) 1012f9f1abd7SXuan Hu val issueStd = MixedVec(Seq.fill(params.StdCnt)(DecoupledIO(new MemExuInput()))) 1013670870b3SXuan Hu val issueHylda = MixedVec(Seq.fill(params.HyuCnt)(DecoupledIO(new MemExuInput()))) 1014670870b3SXuan Hu val issueHysta = MixedVec(Seq.fill(params.HyuCnt)(DecoupledIO(new MemExuInput()))) 101520a5248fSzhanglinjuan val issueVldu = MixedVec(Seq.fill(params.VlduCnt)(DecoupledIO(new MemExuInput(true)))) 101611ed75efSXuan Hu 1017730cfbc0SXuan Hu val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W))) 1018730cfbc0SXuan Hu val loadFastImm = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I 1019730cfbc0SXuan Hu 1020730cfbc0SXuan Hu val tlbCsr = Output(new TlbCsrBundle) 1021730cfbc0SXuan Hu val csrCtrl = Output(new CustomCSRCtrlIO) 1022730cfbc0SXuan Hu val sfence = Output(new SfenceBundle) 1023730cfbc0SXuan Hu val isStoreException = Output(Bool()) 102431c51290Szhanglinjuan val isVlsException = Output(Bool()) 102511ed75efSXuan Hu 1026c838dea1SXuan Hu // ATTENTION: The issue ports' sequence order should be the same as IQs' deq config 1027c838dea1SXuan Hu private [backend] def issueUops: Seq[DecoupledIO[MemExuInput]] = { 1028e77d3114SHaojin Tang issueSta ++ 1029546a0d46SXuan Hu issueHylda ++ issueHysta ++ 1030e77d3114SHaojin Tang issueLda ++ 1031546a0d46SXuan Hu issueVldu ++ 1032546a0d46SXuan Hu issueStd 1033e77d3114SHaojin Tang }.toSeq 1034f9f1abd7SXuan Hu 1035c838dea1SXuan Hu // ATTENTION: The writeback ports' sequence order should be the same as IQs' deq config 1036c838dea1SXuan Hu private [backend] def writeBack: Seq[DecoupledIO[MemExuOutput]] = { 1037e77d3114SHaojin Tang writebackSta ++ 103814525be7SXuan Hu writebackHyuLda ++ writebackHyuSta ++ 1039e77d3114SHaojin Tang writebackLda ++ 104020a5248fSzhanglinjuan writebackVldu ++ 104114525be7SXuan Hu writebackStd 104211ed75efSXuan Hu } 10431bf9a598SAnzo 10441bf9a598SAnzo // store event difftest information 10451bf9a598SAnzo val storeDebugInfo = Vec(EnsbufferWidth, new Bundle { 10461bf9a598SAnzo val robidx = Input(new RobPtr) 10471bf9a598SAnzo val pc = Output(UInt(VAddrBits.W)) 10481bf9a598SAnzo }) 1049730cfbc0SXuan Hu} 1050730cfbc0SXuan Hu 10518cfc24b2STang Haojinclass TopToBackendBundle(implicit p: Parameters) extends XSBundle with HasSoCParameter { 1052ada4760fSXuan Hu val hartId = Output(UInt(hartIdLen.W)) 1053ada4760fSXuan Hu val externalInterrupt = Output(new ExternalInterruptIO) 10548cfc24b2STang Haojin val msiInfo = Output(ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W))) 1055ada4760fSXuan Hu val clintTime = Output(ValidIO(UInt(64.W))) 1056b7a63495SNewPaulWalker val l2FlushDone = Output(Bool()) 1057730cfbc0SXuan Hu} 1058730cfbc0SXuan Hu 1059ada4760fSXuan Huclass BackendToTopBundle extends Bundle { 1060730cfbc0SXuan Hu val cpuHalted = Output(Bool()) 106185a8d7caSZehao Liu val cpuCriticalError = Output(Bool()) 10628cfc24b2STang Haojin val msiAck = Output(Bool()) 1063730cfbc0SXuan Hu} 1064730cfbc0SXuan Hu 1065ada4760fSXuan Huclass BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle with HasSoCParameter { 1066ada4760fSXuan Hu val fromTop = Flipped(new TopToBackendBundle) 1067ada4760fSXuan Hu 1068ada4760fSXuan Hu val toTop = new BackendToTopBundle 1069ada4760fSXuan Hu 1070fd448a9dSchengguanghui val traceCoreInterface = new TraceCoreInterface(hasOffset = true) 1071730cfbc0SXuan Hu val fenceio = new FenceIO 1072730cfbc0SXuan Hu // Todo: merge these bundles into BackendFrontendIO 1073730cfbc0SXuan Hu val frontend = Flipped(new FrontendToCtrlIO) 1074730cfbc0SXuan Hu val frontendSfence = Output(new SfenceBundle) 1075730cfbc0SXuan Hu val frontendCsrCtrl = Output(new CustomCSRCtrlIO) 1076730cfbc0SXuan Hu val frontendTlbCsr = Output(new TlbCsrBundle) 1077f55cdaabSzhanglinjuan val frontendReset = Output(Reset()) 1078730cfbc0SXuan Hu 1079730cfbc0SXuan Hu val mem = new BackendMemIO 1080730cfbc0SXuan Hu 1081730cfbc0SXuan Hu val perf = Input(new PerfCounterIO) 1082730cfbc0SXuan Hu 1083730cfbc0SXuan Hu val tlb = Output(new TlbCsrBundle) 1084730cfbc0SXuan Hu 1085730cfbc0SXuan Hu val csrCustomCtrl = Output(new CustomCSRCtrlIO) 108683ba63b3SXuan Hu 108783ba63b3SXuan Hu val debugTopDown = new Bundle { 108883ba63b3SXuan Hu val fromRob = new RobCoreTopDownIO 108983ba63b3SXuan Hu val fromCore = new CoreDispatchTopDownIO 109083ba63b3SXuan Hu } 109183ba63b3SXuan Hu val debugRolling = new RobDebugRollingIO 1092e836c770SZhaoyang You val topDownInfo = new TopDownInfo 1093*30f35717Scz4e val dft = Option.when(hasDFT)(Input(new SramBroadcastBundle)) 1094*30f35717Scz4e val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals())) 1095730cfbc0SXuan Hu} 1096