1730cfbc0SXuan Hupackage xiangshan.backend 2730cfbc0SXuan Hu 3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7d91483a6Sfdyimport utility.{PipelineConnect, ZeroExt} 8730cfbc0SXuan Huimport xiangshan._ 9730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 10730cfbc0SXuan Huimport xiangshan.backend.ctrlblock.CtrlBlock 11730cfbc0SXuan Huimport xiangshan.backend.datapath.WbConfig._ 12730cfbc0SXuan Huimport xiangshan.backend.datapath.{DataPath, WbDataPath} 13730cfbc0SXuan Huimport xiangshan.backend.exu.ExuBlock 14a8db15d8Sfdyimport xiangshan.backend.fu.vector.Bundles.{VConfig, VType} 15b6b11f60SXuan Huimport xiangshan.backend.fu.{FenceIO, FenceToSbuffer, PerfCounterIO, FuConfig} 16730cfbc0SXuan Huimport xiangshan.backend.issue.Scheduler 17730cfbc0SXuan Huimport xiangshan.backend.rob.RobLsqIO 18730cfbc0SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead} 19730cfbc0SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 20730cfbc0SXuan Hu 21730cfbc0SXuan Huclass Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule 22730cfbc0SXuan Hu with HasXSParameter { 23730cfbc0SXuan Hu 24730cfbc0SXuan Hu for (exuCfg <- params.allExuParams) { 25730cfbc0SXuan Hu val fuConfigs = exuCfg.fuConfigs 26730cfbc0SXuan Hu val wbPortConfigs = exuCfg.wbPortConfigs 27730cfbc0SXuan Hu val immType = exuCfg.immType 28730cfbc0SXuan Hu println(s"exu: ${fuConfigs.map(_.name)}, wb: ${wbPortConfigs}, imm: ${immType}") 29730cfbc0SXuan Hu require(wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty == 30730cfbc0SXuan Hu fuConfigs.map(_.writeIntRf).reduce(_ || _), 31730cfbc0SXuan Hu "int wb port has no priority" ) 32730cfbc0SXuan Hu require(wbPortConfigs.collectFirst { case x: VecWB => x }.nonEmpty == 33730cfbc0SXuan Hu fuConfigs.map(x => x.writeFpRf || x.writeVecRf).reduce(_ || _), 34730cfbc0SXuan Hu "vec wb port has no priority" ) 35730cfbc0SXuan Hu } 36730cfbc0SXuan Hu 37730cfbc0SXuan Hu println(s"Function Unit: Alu(${params.AluCnt}), Brh(${params.BrhCnt}), Jmp(${params.JmpCnt}), " + 38730cfbc0SXuan Hu s"Ldu(${params.LduCnt}), Sta(${params.StaCnt}), Std(${params.StdCnt})") 39730cfbc0SXuan Hu 40b6b11f60SXuan Hu for (cfg <- FuConfig.allConfigs) { 41b6b11f60SXuan Hu println(s"[Backend] $cfg") 42b6b11f60SXuan Hu } 43b6b11f60SXuan Hu 44730cfbc0SXuan Hu val ctrlBlock = LazyModule(new CtrlBlock(params)) 45730cfbc0SXuan Hu val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x))) 46730cfbc0SXuan Hu val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x))) 47730cfbc0SXuan Hu val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x))) 48730cfbc0SXuan Hu val dataPath = LazyModule(new DataPath(params)) 49730cfbc0SXuan Hu val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x))) 50730cfbc0SXuan Hu val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x))) 51730cfbc0SXuan Hu 52730cfbc0SXuan Hu lazy val module = new BackendImp(this) 53730cfbc0SXuan Hu} 54730cfbc0SXuan Hu 55d91483a6Sfdyclass BackendImp(override val wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper) 56d91483a6Sfdy with HasXSParameter{ 57730cfbc0SXuan Hu implicit private val params = wrapper.params 58730cfbc0SXuan Hu val io = IO(new BackendIO()(p, wrapper.params)) 59730cfbc0SXuan Hu 60730cfbc0SXuan Hu private val ctrlBlock = wrapper.ctrlBlock.module 61730cfbc0SXuan Hu private val intScheduler = wrapper.intScheduler.get.module 62730cfbc0SXuan Hu private val vfScheduler = wrapper.vfScheduler.get.module 63730cfbc0SXuan Hu private val memScheduler = wrapper.memScheduler.get.module 64730cfbc0SXuan Hu private val dataPath = wrapper.dataPath.module 65730cfbc0SXuan Hu private val intExuBlock = wrapper.intExuBlock.get.module 66730cfbc0SXuan Hu private val vfExuBlock = wrapper.vfExuBlock.get.module 67730cfbc0SXuan Hu private val wbDataPath = Module(new WbDataPath(params)) 68730cfbc0SXuan Hu 69730cfbc0SXuan Hu ctrlBlock.io.fromTop.hartId := io.fromTop.hartId 70730cfbc0SXuan Hu ctrlBlock.io.frontend <> io.frontend 71730cfbc0SXuan Hu ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback 72730cfbc0SXuan Hu ctrlBlock.io.fromMem.stIn <> io.mem.stIn 73730cfbc0SXuan Hu ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation 74730cfbc0SXuan Hu ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl 75730cfbc0SXuan Hu ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt 76730cfbc0SXuan Hu ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget 77730cfbc0SXuan Hu ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet 78730cfbc0SXuan Hu ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event 79730cfbc0SXuan Hu ctrlBlock.io.robio.lsq <> io.mem.robLsqIO 80730cfbc0SXuan Hu 81730cfbc0SXuan Hu intScheduler.io.fromTop.hartId := io.fromTop.hartId 82730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 83730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.pcVec := ctrlBlock.io.toIssueBlock.pcVec 84730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.targetVec := ctrlBlock.io.toIssueBlock.targetVec 85730cfbc0SXuan Hu intScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 86730cfbc0SXuan Hu intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops 87730cfbc0SXuan Hu intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 88730cfbc0SXuan Hu intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack) 89730cfbc0SXuan Hu 90730cfbc0SXuan Hu memScheduler.io.fromTop.hartId := io.fromTop.hartId 91730cfbc0SXuan Hu memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 92730cfbc0SXuan Hu memScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 93730cfbc0SXuan Hu memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops 94730cfbc0SXuan Hu memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 95730cfbc0SXuan Hu memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 96730cfbc0SXuan Hu memScheduler.io.fromMem.get.scommit := io.mem.sqDeq 97e450f9ecSXuan Hu memScheduler.io.fromMem.get.lcommit := io.mem.lqDeq 98730cfbc0SXuan Hu memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt 99730cfbc0SXuan Hu memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt 100730cfbc0SXuan Hu memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr 101730cfbc0SXuan Hu memScheduler.io.fromMem.get.memWaitUpdateReq.staIssue.zip(io.mem.stIn).foreach { case (sink, source) => 102730cfbc0SXuan Hu sink.valid := source.valid 103730cfbc0SXuan Hu sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 104730cfbc0SXuan Hu sink.bits.uop.robIdx := source.bits.robIdx 105730cfbc0SXuan Hu } 1067b753bebSXuan Hu io.mem.ldaIqFeedback <> memScheduler.io.fromMem.get.ldaFeedback 1077b753bebSXuan Hu io.mem.staIqFeedback <> memScheduler.io.fromMem.get.staFeedback 108730cfbc0SXuan Hu 109730cfbc0SXuan Hu vfScheduler.io.fromTop.hartId := io.fromTop.hartId 110730cfbc0SXuan Hu vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 111730cfbc0SXuan Hu vfScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 112730cfbc0SXuan Hu vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops 113730cfbc0SXuan Hu vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack) 114730cfbc0SXuan Hu vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 115730cfbc0SXuan Hu 116730cfbc0SXuan Hu dataPath.io.flush := ctrlBlock.io.toDataPath.flush 117d91483a6Sfdy dataPath.io.vconfigReadPort.addr := ctrlBlock.io.toDataPath.vtypeAddr 118d91483a6Sfdy val vconfig = dataPath.io.vconfigReadPort.data 119d91483a6Sfdy ctrlBlock.io.fromDataPath.vtype := vconfig(7, 0).asTypeOf(new VType) 120730cfbc0SXuan Hu for (i <- 0 until dataPath.io.fromIntIQ.length) { 121730cfbc0SXuan Hu for (j <- 0 until dataPath.io.fromIntIQ(i).length) { 122730cfbc0SXuan Hu PipelineConnect(intScheduler.io.toDataPath(i)(j), dataPath.io.fromIntIQ(i)(j), dataPath.io.fromIntIQ(i)(j).valid, 123d9674a27Sfdy intScheduler.io.toDataPath(i)(j).bits.common.robIdx.needFlush(ctrlBlock.io.toDataPath.flush)) 124730cfbc0SXuan Hu intScheduler.io.fromDataPath(i)(j) := dataPath.io.toIntIQ(i)(j) 125730cfbc0SXuan Hu } 126730cfbc0SXuan Hu } 127730cfbc0SXuan Hu 128730cfbc0SXuan Hu dataPath.io.fromVfIQ <> vfScheduler.io.toDataPath 129730cfbc0SXuan Hu vfScheduler.io.fromDataPath := dataPath.io.toVfIQ 130730cfbc0SXuan Hu dataPath.io.fromMemIQ <> memScheduler.io.toDataPath 131730cfbc0SXuan Hu memScheduler.io.fromDataPath := dataPath.io.toMemIQ 132730cfbc0SXuan Hu 133730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}") 134730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}") 135730cfbc0SXuan Hu dataPath.io.fromIntWb := wbDataPath.io.toIntPreg 136730cfbc0SXuan Hu dataPath.io.fromVfWb := wbDataPath.io.toVfPreg 137730cfbc0SXuan Hu dataPath.io.debugIntRat := ctrlBlock.io.debug_int_rat 138730cfbc0SXuan Hu dataPath.io.debugFpRat := ctrlBlock.io.debug_fp_rat 139730cfbc0SXuan Hu dataPath.io.debugVecRat := ctrlBlock.io.debug_vec_rat 140a8db15d8Sfdy dataPath.io.debugVconfigRat := ctrlBlock.io.debug_vconfig_rat 141730cfbc0SXuan Hu 142730cfbc0SXuan Hu intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 143730cfbc0SXuan Hu for (i <- 0 until intExuBlock.io.in.length) { 144730cfbc0SXuan Hu for (j <- 0 until intExuBlock.io.in(i).length) { 145730cfbc0SXuan Hu PipelineConnect(dataPath.io.toIntExu(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire, 146d9674a27Sfdy Mux(dataPath.io.toIntExu(i)(j).fire, 147d9674a27Sfdy dataPath.io.toIntExu(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 148d9674a27Sfdy intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush))) 149730cfbc0SXuan Hu } 150730cfbc0SXuan Hu } 151730cfbc0SXuan Hu 152730cfbc0SXuan Hu private val csrio = intExuBlock.io.csrio.get 153730cfbc0SXuan Hu csrio.hartId := io.fromTop.hartId 154730cfbc0SXuan Hu csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr 155730cfbc0SXuan Hu csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo 156730cfbc0SXuan Hu csrio.perf.perfEventsCtrl <> ctrlBlock.getPerf 157730cfbc0SXuan Hu csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags 158730cfbc0SXuan Hu csrio.fpu.isIllegal := false.B // Todo: remove it 159730cfbc0SXuan Hu csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs 160730cfbc0SXuan Hu csrio.vpu <> 0.U.asTypeOf(csrio.vpu) // Todo 161a8db15d8Sfdy 162a8db15d8Sfdy val debugVconfig = dataPath.io.debugVconfig.asTypeOf(new VConfig) 163a8db15d8Sfdy val debugVtype = VType.toVtypeStruct(debugVconfig.vtype).asUInt 164a8db15d8Sfdy val debugVl = debugVconfig.vl 165*01ceb97cSZiyue Zhang csrio.vpu.set_vxsat := ctrlBlock.io.robio.csr.vxsat 166a8db15d8Sfdy csrio.vpu.set_vstart.valid := ctrlBlock.io.robio.csr.vcsrFlag 167d91483a6Sfdy csrio.vpu.set_vstart.bits := 0.U 168a8db15d8Sfdy csrio.vpu.set_vtype.valid := ctrlBlock.io.robio.csr.vcsrFlag 169a8db15d8Sfdy csrio.vpu.set_vtype.bits := ZeroExt(debugVtype, XLEN) 170a8db15d8Sfdy csrio.vpu.set_vl.valid := ctrlBlock.io.robio.csr.vcsrFlag 171a8db15d8Sfdy csrio.vpu.set_vl.bits := ZeroExt(debugVl, XLEN) 172730cfbc0SXuan Hu csrio.exception := ctrlBlock.io.robio.exception 173730cfbc0SXuan Hu csrio.memExceptionVAddr := io.mem.exceptionVAddr 174730cfbc0SXuan Hu csrio.externalInterrupt := io.fromTop.externalInterrupt 175730cfbc0SXuan Hu csrio.distributedUpdate(0) := io.mem.csrDistributedUpdate 176730cfbc0SXuan Hu csrio.distributedUpdate(1) := io.frontendCsrDistributedUpdate 177730cfbc0SXuan Hu csrio.perf <> io.perf 178730cfbc0SXuan Hu private val fenceio = intExuBlock.io.fenceio.get 179730cfbc0SXuan Hu fenceio.disableSfence := csrio.disableSfence 180730cfbc0SXuan Hu io.fenceio <> fenceio 181730cfbc0SXuan Hu 182730cfbc0SXuan Hu vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 183730cfbc0SXuan Hu for (i <- 0 until vfExuBlock.io.in.size) { 184730cfbc0SXuan Hu for (j <- 0 until vfExuBlock.io.in(i).size) { 185730cfbc0SXuan Hu PipelineConnect(dataPath.io.toFpExu(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire, 186d9674a27Sfdy Mux(dataPath.io.toFpExu(i)(j).fire, 187d9674a27Sfdy dataPath.io.toFpExu(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 188d9674a27Sfdy vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush))) 189730cfbc0SXuan Hu } 190730cfbc0SXuan Hu } 191b6b11f60SXuan Hu vfExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 192730cfbc0SXuan Hu 193730cfbc0SXuan Hu wbDataPath.io.flush := ctrlBlock.io.redirect 194730cfbc0SXuan Hu wbDataPath.io.fromTop.hartId := io.fromTop.hartId 195730cfbc0SXuan Hu wbDataPath.io.fromIntExu <> intExuBlock.io.out 196730cfbc0SXuan Hu wbDataPath.io.fromVfExu <> vfExuBlock.io.out 197730cfbc0SXuan Hu wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 198730cfbc0SXuan Hu sink.valid := source.valid 199730cfbc0SXuan Hu source.ready := sink.ready 200730cfbc0SXuan Hu sink.bits.data := source.bits.data 201730cfbc0SXuan Hu sink.bits.pdest := source.bits.uop.pdest 202730cfbc0SXuan Hu sink.bits.robIdx := source.bits.uop.robIdx 203730cfbc0SXuan Hu sink.bits.intWen.foreach(_ := source.bits.uop.rfWen) 204730cfbc0SXuan Hu sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen) 205730cfbc0SXuan Hu sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen) 206730cfbc0SXuan Hu sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec) 207730cfbc0SXuan Hu sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe) 208730cfbc0SXuan Hu sink.bits.replay.foreach(_ := source.bits.uop.replayInst) 209730cfbc0SXuan Hu sink.bits.debug := source.bits.debug 210730cfbc0SXuan Hu sink.bits.debugInfo := 0.U.asTypeOf(sink.bits.debugInfo) 211730cfbc0SXuan Hu sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx) 212730cfbc0SXuan Hu sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx) 213730cfbc0SXuan Hu sink.bits.ftqIdx.foreach(_ := source.bits.uop.ftqPtr) 214730cfbc0SXuan Hu sink.bits.ftqOffset.foreach(_ := source.bits.uop.ftqOffset) 215730cfbc0SXuan Hu } 216730cfbc0SXuan Hu 217730cfbc0SXuan Hu // to mem 218730cfbc0SXuan Hu io.mem.redirect := ctrlBlock.io.redirect 219730cfbc0SXuan Hu io.mem.issueUops.zip(dataPath.io.toMemExu.flatten).foreach { case (sink, source) => 220730cfbc0SXuan Hu sink.valid := source.valid 221730cfbc0SXuan Hu source.ready := sink.ready 222730cfbc0SXuan Hu sink.bits.iqIdx := source.bits.iqIdx 223730cfbc0SXuan Hu sink.bits.isFirstIssue := source.bits.isFirstIssue 224730cfbc0SXuan Hu sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 225730cfbc0SXuan Hu sink.bits.src := 0.U.asTypeOf(sink.bits.src) 226730cfbc0SXuan Hu sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r} 227730cfbc0SXuan Hu sink.bits.uop.fuType := source.bits.fuType 228730cfbc0SXuan Hu sink.bits.uop.fuOpType := source.bits.fuOpType 229730cfbc0SXuan Hu sink.bits.uop.imm := source.bits.imm 230730cfbc0SXuan Hu sink.bits.uop.robIdx := source.bits.robIdx 231730cfbc0SXuan Hu sink.bits.uop.pdest := source.bits.pdest 232730cfbc0SXuan Hu sink.bits.uop.rfWen := source.bits.rfWen.getOrElse(false.B) 233730cfbc0SXuan Hu sink.bits.uop.fpWen := source.bits.fpWen.getOrElse(false.B) 234730cfbc0SXuan Hu sink.bits.uop.vecWen := source.bits.vecWen.getOrElse(false.B) 235730cfbc0SXuan Hu sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B) 236730cfbc0SXuan Hu sink.bits.uop.pc := source.bits.pc.getOrElse(0.U) 237730cfbc0SXuan Hu sink.bits.uop.lqIdx := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 238730cfbc0SXuan Hu sink.bits.uop.sqIdx := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 239730cfbc0SXuan Hu sink.bits.uop.ftqPtr := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr)) 240730cfbc0SXuan Hu sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U) 241730cfbc0SXuan Hu } 242730cfbc0SXuan Hu io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch) 243730cfbc0SXuan Hu io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm) 244730cfbc0SXuan Hu io.mem.tlbCsr := csrio.tlb 245730cfbc0SXuan Hu io.mem.csrCtrl := csrio.customCtrl 246730cfbc0SXuan Hu io.mem.sfence := fenceio.sfence 247730cfbc0SXuan Hu io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType) 248730cfbc0SXuan Hu require(io.mem.loadPcRead.size == params.LduCnt) 249730cfbc0SXuan Hu io.mem.loadPcRead.zipWithIndex.foreach { case (loadPcRead, i) => 250730cfbc0SXuan Hu loadPcRead.data := ctrlBlock.io.memLdPcRead(i).data 251730cfbc0SXuan Hu ctrlBlock.io.memLdPcRead(i).ptr := loadPcRead.ptr 252730cfbc0SXuan Hu ctrlBlock.io.memLdPcRead(i).offset := loadPcRead.offset 253730cfbc0SXuan Hu } 254730cfbc0SXuan Hu // mem io 255730cfbc0SXuan Hu io.mem.lsqEnqIO <> memScheduler.io.memIO.get.lsqEnqIO 256730cfbc0SXuan Hu io.mem.robLsqIO <> ctrlBlock.io.robio.lsq 257730cfbc0SXuan Hu io.mem.toSbuffer <> fenceio.sbuffer 258730cfbc0SXuan Hu 259730cfbc0SXuan Hu io.frontendSfence := fenceio.sfence 260730cfbc0SXuan Hu io.frontendTlbCsr := csrio.tlb 261730cfbc0SXuan Hu io.frontendCsrCtrl := csrio.customCtrl 262730cfbc0SXuan Hu 263730cfbc0SXuan Hu io.tlb <> csrio.tlb 264730cfbc0SXuan Hu 265730cfbc0SXuan Hu io.csrCustomCtrl := csrio.customCtrl 266730cfbc0SXuan Hu 267730cfbc0SXuan Hu dontTouch(memScheduler.io) 268730cfbc0SXuan Hu dontTouch(io.mem) 269730cfbc0SXuan Hu dontTouch(dataPath.io.toMemExu) 270730cfbc0SXuan Hu dontTouch(wbDataPath.io.fromMemExu) 271730cfbc0SXuan Hu} 272730cfbc0SXuan Hu 273730cfbc0SXuan Huclass BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 27468d13085SXuan Hu // params alias 27568d13085SXuan Hu private val LoadQueueSize = VirtualLoadQueueSize 276730cfbc0SXuan Hu // In/Out // Todo: split it into one-direction bundle 277730cfbc0SXuan Hu val lsqEnqIO = Flipped(new LsqEnqIO) 278730cfbc0SXuan Hu val robLsqIO = new RobLsqIO 279730cfbc0SXuan Hu val toSbuffer = new FenceToSbuffer 2807b753bebSXuan Hu val ldaIqFeedback = Vec(params.LduCnt, Flipped(new MemRSFeedbackIO)) 2817b753bebSXuan Hu val staIqFeedback = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO)) 282730cfbc0SXuan Hu val loadPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 283730cfbc0SXuan Hu 284730cfbc0SXuan Hu // Input 2854ee69032SzhanglyGit val writeBack = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(Flipped(DecoupledIO(new MemExuOutput()))) ++ Seq.fill(params.VlduCnt)(Flipped(DecoupledIO(new MemExuOutput(true))))) 286730cfbc0SXuan Hu 287730cfbc0SXuan Hu val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool())) 288730cfbc0SXuan Hu val stIn = Input(Vec(params.StaCnt, ValidIO(new DynInst()))) 289730cfbc0SXuan Hu val memoryViolation = Flipped(ValidIO(new Redirect)) 290730cfbc0SXuan Hu val exceptionVAddr = Input(UInt(VAddrBits.W)) 291730cfbc0SXuan Hu val sqDeq = Input(UInt(params.StaCnt.W)) 292e450f9ecSXuan Hu val lqDeq = Input(UInt(params.LduCnt.W)) 293730cfbc0SXuan Hu 294730cfbc0SXuan Hu val lqCancelCnt = Input(UInt(log2Up(LoadQueueSize + 1).W)) 295730cfbc0SXuan Hu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 296730cfbc0SXuan Hu 297730cfbc0SXuan Hu val otherFastWakeup = Flipped(Vec(params.LduCnt + 2 * params.StaCnt, ValidIO(new DynInst))) 298730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr()) 299730cfbc0SXuan Hu 300730cfbc0SXuan Hu val csrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 301730cfbc0SXuan Hu 302730cfbc0SXuan Hu // Output 303730cfbc0SXuan Hu val redirect = ValidIO(new Redirect) // rob flush MemBlock 3044ee69032SzhanglyGit val issueUops = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(DecoupledIO(new MemExuInput())) ++ Seq.fill(params.VlduCnt)(DecoupledIO(new MemExuInput(true)))) 305730cfbc0SXuan Hu val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W))) 306730cfbc0SXuan Hu val loadFastImm = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I 307730cfbc0SXuan Hu 308730cfbc0SXuan Hu val tlbCsr = Output(new TlbCsrBundle) 309730cfbc0SXuan Hu val csrCtrl = Output(new CustomCSRCtrlIO) 310730cfbc0SXuan Hu val sfence = Output(new SfenceBundle) 311730cfbc0SXuan Hu val isStoreException = Output(Bool()) 312730cfbc0SXuan Hu} 313730cfbc0SXuan Hu 314730cfbc0SXuan Huclass BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 315730cfbc0SXuan Hu val fromTop = new Bundle { 316730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 317730cfbc0SXuan Hu val externalInterrupt = new ExternalInterruptIO 318730cfbc0SXuan Hu } 319730cfbc0SXuan Hu 320730cfbc0SXuan Hu val toTop = new Bundle { 321730cfbc0SXuan Hu val cpuHalted = Output(Bool()) 322730cfbc0SXuan Hu } 323730cfbc0SXuan Hu 324730cfbc0SXuan Hu val fenceio = new FenceIO 325730cfbc0SXuan Hu // Todo: merge these bundles into BackendFrontendIO 326730cfbc0SXuan Hu val frontend = Flipped(new FrontendToCtrlIO) 327730cfbc0SXuan Hu val frontendSfence = Output(new SfenceBundle) 328730cfbc0SXuan Hu val frontendCsrCtrl = Output(new CustomCSRCtrlIO) 329730cfbc0SXuan Hu val frontendTlbCsr = Output(new TlbCsrBundle) 330730cfbc0SXuan Hu // distributed csr write 331730cfbc0SXuan Hu val frontendCsrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 332730cfbc0SXuan Hu 333730cfbc0SXuan Hu val mem = new BackendMemIO 334730cfbc0SXuan Hu 335730cfbc0SXuan Hu val perf = Input(new PerfCounterIO) 336730cfbc0SXuan Hu 337730cfbc0SXuan Hu val tlb = Output(new TlbCsrBundle) 338730cfbc0SXuan Hu 339730cfbc0SXuan Hu val csrCustomCtrl = Output(new CustomCSRCtrlIO) 340730cfbc0SXuan Hu} 341