1730cfbc0SXuan Hupackage xiangshan.backend 2730cfbc0SXuan Hu 3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7c0be7f33SXuan Huimport utility.ZeroExt 8730cfbc0SXuan Huimport xiangshan._ 9c0be7f33SXuan Huimport xiangshan.backend.Bundles.{DynInst, IssueQueueIQWakeUpBundle, MemExuInput, MemExuOutput} 10730cfbc0SXuan Huimport xiangshan.backend.ctrlblock.CtrlBlock 11*39c59369SXuan Huimport xiangshan.backend.datapath.DataConfig.{IntData, VecData} 12c34b4b06SXuan Huimport xiangshan.backend.datapath.RdConfig.{IntRD, VfRD} 13730cfbc0SXuan Huimport xiangshan.backend.datapath.WbConfig._ 14c34b4b06SXuan Huimport xiangshan.backend.datapath._ 15730cfbc0SXuan Huimport xiangshan.backend.exu.ExuBlock 16a8db15d8Sfdyimport xiangshan.backend.fu.vector.Bundles.{VConfig, VType} 17fb4849e5SXuan Huimport xiangshan.backend.fu.{FenceIO, FenceToSbuffer, FuConfig, PerfCounterIO} 187fb1e4e4SXuan Huimport xiangshan.backend.issue.{CancelNetwork, Scheduler} 19730cfbc0SXuan Huimport xiangshan.backend.rob.RobLsqIO 20730cfbc0SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead} 21730cfbc0SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 22730cfbc0SXuan Hu 23730cfbc0SXuan Huclass Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule 24730cfbc0SXuan Hu with HasXSParameter { 25730cfbc0SXuan Hu 269b258a00Sxgkiri /* Only update the idx in mem-scheduler here 279b258a00Sxgkiri * Idx in other schedulers can be updated the same way if needed 289b258a00Sxgkiri * 299b258a00Sxgkiri * Also note that we filter out the 'stData issue-queues' when counting 309b258a00Sxgkiri */ 319b258a00Sxgkiri for ((ibp, idx) <- params.memSchdParams.get.issueBlockParams.filter(iq => iq.StdCnt == 0).zipWithIndex) { 329b258a00Sxgkiri ibp.updateIdx(idx) 339b258a00Sxgkiri } 349b258a00Sxgkiri 35bf35baadSXuan Hu println(params.iqWakeUpParams) 36bf35baadSXuan Hu 37dd473fffSXuan Hu for ((schdCfg, i) <- params.allSchdParams.zipWithIndex) { 38dd473fffSXuan Hu schdCfg.bindBackendParam(params) 39dd473fffSXuan Hu } 40dd473fffSXuan Hu 41dd473fffSXuan Hu for ((iqCfg, i) <- params.allIssueParams.zipWithIndex) { 42dd473fffSXuan Hu iqCfg.bindBackendParam(params) 43dd473fffSXuan Hu } 44dd473fffSXuan Hu 45bf35baadSXuan Hu for ((exuCfg, i) <- params.allExuParams.zipWithIndex) { 46bf35baadSXuan Hu exuCfg.updateIQWakeUpConfigs(params.iqWakeUpParams) 47bf35baadSXuan Hu exuCfg.updateExuIdx(i) 48dd473fffSXuan Hu exuCfg.bindBackendParam(params) 49bf35baadSXuan Hu } 50bf35baadSXuan Hu 510655b1a0SXuan Hu println("[Backend] ExuConfigs:") 52730cfbc0SXuan Hu for (exuCfg <- params.allExuParams) { 53730cfbc0SXuan Hu val fuConfigs = exuCfg.fuConfigs 54730cfbc0SXuan Hu val wbPortConfigs = exuCfg.wbPortConfigs 55730cfbc0SXuan Hu val immType = exuCfg.immType 56bf44d649SXuan Hu 570655b1a0SXuan Hu println("[Backend] " + 580655b1a0SXuan Hu s"${exuCfg.name}: " + 590655b1a0SXuan Hu s"${fuConfigs.map(_.name).mkString("fu(s): {", ",", "}")}, " + 600655b1a0SXuan Hu s"${wbPortConfigs.mkString("wb: {", ",", "}")}, " + 61bf44d649SXuan Hu s"${immType.map(SelImm.mkString(_)).mkString("imm: {", ",", "}")}, " + 62c0be7f33SXuan Hu s"latMax(${exuCfg.latencyValMax}), ${exuCfg.fuLatancySet.mkString("lat: {", ",", "}")}, " 63c0be7f33SXuan Hu ) 64c0be7f33SXuan Hu require( 65c0be7f33SXuan Hu wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty == 66730cfbc0SXuan Hu fuConfigs.map(_.writeIntRf).reduce(_ || _), 67c0be7f33SXuan Hu "int wb port has no priority" 68c0be7f33SXuan Hu ) 69c0be7f33SXuan Hu require( 70c0be7f33SXuan Hu wbPortConfigs.collectFirst { case x: VfWB => x }.nonEmpty == 71730cfbc0SXuan Hu fuConfigs.map(x => x.writeFpRf || x.writeVecRf).reduce(_ || _), 72c0be7f33SXuan Hu "vec wb port has no priority" 73c0be7f33SXuan Hu ) 74730cfbc0SXuan Hu } 75730cfbc0SXuan Hu 76c34b4b06SXuan Hu println(s"[Backend] all fu configs") 77b6b11f60SXuan Hu for (cfg <- FuConfig.allConfigs) { 78b6b11f60SXuan Hu println(s"[Backend] $cfg") 79b6b11f60SXuan Hu } 80b6b11f60SXuan Hu 81c34b4b06SXuan Hu println(s"[Backend] Int RdConfigs: ExuName(Priority)") 82*39c59369SXuan Hu for ((port, seq) <- params.getRdPortParams(IntData())) { 83c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 84c34b4b06SXuan Hu } 85c34b4b06SXuan Hu 86c34b4b06SXuan Hu println(s"[Backend] Int WbConfigs: ExuName(Priority)") 87*39c59369SXuan Hu for ((port, seq) <- params.getWbPortParams(IntData())) { 88c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 89c34b4b06SXuan Hu } 90c34b4b06SXuan Hu 91c34b4b06SXuan Hu println(s"[Backend] Vf RdConfigs: ExuName(Priority)") 92*39c59369SXuan Hu for ((port, seq) <- params.getRdPortParams(VecData())) { 93c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 94c34b4b06SXuan Hu } 95c34b4b06SXuan Hu 96c34b4b06SXuan Hu println(s"[Backend] Vf WbConfigs: ExuName(Priority)") 97*39c59369SXuan Hu for ((port, seq) <- params.getWbPortParams(VecData())) { 98c34b4b06SXuan Hu println(s"[Backend] port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}") 99c34b4b06SXuan Hu } 100c34b4b06SXuan Hu 101730cfbc0SXuan Hu val ctrlBlock = LazyModule(new CtrlBlock(params)) 102730cfbc0SXuan Hu val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x))) 103730cfbc0SXuan Hu val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x))) 104730cfbc0SXuan Hu val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x))) 1057fb1e4e4SXuan Hu val cancelNetwork = LazyModule(new CancelNetwork(params)) 106730cfbc0SXuan Hu val dataPath = LazyModule(new DataPath(params)) 107730cfbc0SXuan Hu val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x))) 108730cfbc0SXuan Hu val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x))) 1097f847969SzhanglyGit val wbFuBusyTable = LazyModule(new WbFuBusyTable(params)) 110730cfbc0SXuan Hu 111730cfbc0SXuan Hu lazy val module = new BackendImp(this) 112730cfbc0SXuan Hu} 113730cfbc0SXuan Hu 114d91483a6Sfdyclass BackendImp(override val wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper) 115d91483a6Sfdy with HasXSParameter { 116730cfbc0SXuan Hu implicit private val params = wrapper.params 117730cfbc0SXuan Hu val io = IO(new BackendIO()(p, wrapper.params)) 118730cfbc0SXuan Hu 119730cfbc0SXuan Hu private val ctrlBlock = wrapper.ctrlBlock.module 120730cfbc0SXuan Hu private val intScheduler = wrapper.intScheduler.get.module 121730cfbc0SXuan Hu private val vfScheduler = wrapper.vfScheduler.get.module 122730cfbc0SXuan Hu private val memScheduler = wrapper.memScheduler.get.module 1237fb1e4e4SXuan Hu private val cancelNetwork = wrapper.cancelNetwork.module 124730cfbc0SXuan Hu private val dataPath = wrapper.dataPath.module 125730cfbc0SXuan Hu private val intExuBlock = wrapper.intExuBlock.get.module 126730cfbc0SXuan Hu private val vfExuBlock = wrapper.vfExuBlock.get.module 1275d2b9cadSXuan Hu private val bypassNetwork = Module(new BypassNetwork) 128730cfbc0SXuan Hu private val wbDataPath = Module(new WbDataPath(params)) 1297f847969SzhanglyGit private val wbFuBusyTable = wrapper.wbFuBusyTable.module 130730cfbc0SXuan Hu 131c0be7f33SXuan Hu private val iqWakeUpMappedBundle: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] = ( 132bf35baadSXuan Hu intScheduler.io.toSchedulers.wakeupVec ++ 133bf35baadSXuan Hu vfScheduler.io.toSchedulers.wakeupVec ++ 134bf35baadSXuan Hu memScheduler.io.toSchedulers.wakeupVec 135c0be7f33SXuan Hu ).map(x => (x.bits.exuIdx, x)).toMap 136bf35baadSXuan Hu 137bf35baadSXuan Hu println(s"[Backend] iq wake up keys: ${iqWakeUpMappedBundle.keys}") 138bf35baadSXuan Hu 139dd970561SzhanglyGit wbFuBusyTable.io.in.intSchdBusyTable := intScheduler.io.wbFuBusyTable 140dd970561SzhanglyGit wbFuBusyTable.io.in.vfSchdBusyTable := vfScheduler.io.wbFuBusyTable 141dd970561SzhanglyGit wbFuBusyTable.io.in.memSchdBusyTable := memScheduler.io.wbFuBusyTable 142dd970561SzhanglyGit intScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.intRespRead 143dd970561SzhanglyGit vfScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.vfRespRead 144dd970561SzhanglyGit memScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.memRespRead 145dd970561SzhanglyGit dataPath.io.wbConfictRead := wbFuBusyTable.io.out.wbConflictRead 1462e0a7dc5Sfdy 1478d29ec32Sczw wbDataPath.io.fromIntExu.flatten.filter(x => x.bits.params.writeIntRf) 1482e0a7dc5Sfdy 149fb4849e5SXuan Hu private val vconfig = dataPath.io.vconfigReadPort.data 15010fe9778SXuan Hu private val og1CancelVec: Vec[Bool] = dataPath.io.og1CancelVec 15110fe9778SXuan Hu private val og0CancelVecFromDataPath: Vec[Bool] = dataPath.io.og0CancelVec 1527fb1e4e4SXuan Hu private val og0CancelVecFromCancelNet: Vec[Bool] = cancelNetwork.io.out.og0CancelVec 1537fb1e4e4SXuan Hu private val og0CancelVec: Vec[Bool] = VecInit(og0CancelVecFromDataPath.zip(og0CancelVecFromCancelNet).map(x => x._1 | x._2)) 154fb4849e5SXuan Hu 155730cfbc0SXuan Hu ctrlBlock.io.fromTop.hartId := io.fromTop.hartId 156730cfbc0SXuan Hu ctrlBlock.io.frontend <> io.frontend 157730cfbc0SXuan Hu ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback 158730cfbc0SXuan Hu ctrlBlock.io.fromMem.stIn <> io.mem.stIn 159730cfbc0SXuan Hu ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation 160730cfbc0SXuan Hu ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl 161730cfbc0SXuan Hu ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt 162730cfbc0SXuan Hu ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget 163730cfbc0SXuan Hu ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet 164730cfbc0SXuan Hu ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event 165730cfbc0SXuan Hu ctrlBlock.io.robio.lsq <> io.mem.robLsqIO 166fb4849e5SXuan Hu ctrlBlock.io.fromDataPath.vtype := vconfig(7, 0).asTypeOf(new VType) 167730cfbc0SXuan Hu 168730cfbc0SXuan Hu intScheduler.io.fromTop.hartId := io.fromTop.hartId 169730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 170730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.pcVec := ctrlBlock.io.toIssueBlock.pcVec 171730cfbc0SXuan Hu intScheduler.io.fromCtrlBlock.targetVec := ctrlBlock.io.toIssueBlock.targetVec 172730cfbc0SXuan Hu intScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 173730cfbc0SXuan Hu intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops 174730cfbc0SXuan Hu intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 175730cfbc0SXuan Hu intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack) 176c0be7f33SXuan Hu intScheduler.io.fromDataPath.resp := dataPath.io.toIntIQ 177c0be7f33SXuan Hu intScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 178ea46c302SXuan Hu intScheduler.io.fromDataPath.og0Cancel := og0CancelVec 179ea46c302SXuan Hu intScheduler.io.fromDataPath.og1Cancel := og1CancelVec 180730cfbc0SXuan Hu 181730cfbc0SXuan Hu memScheduler.io.fromTop.hartId := io.fromTop.hartId 182730cfbc0SXuan Hu memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 183730cfbc0SXuan Hu memScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 184730cfbc0SXuan Hu memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops 185730cfbc0SXuan Hu memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 186730cfbc0SXuan Hu memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 187730cfbc0SXuan Hu memScheduler.io.fromMem.get.scommit := io.mem.sqDeq 188e450f9ecSXuan Hu memScheduler.io.fromMem.get.lcommit := io.mem.lqDeq 189730cfbc0SXuan Hu memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt 190730cfbc0SXuan Hu memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt 191730cfbc0SXuan Hu memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr 192730cfbc0SXuan Hu memScheduler.io.fromMem.get.memWaitUpdateReq.staIssue.zip(io.mem.stIn).foreach { case (sink, source) => 193730cfbc0SXuan Hu sink.valid := source.valid 194730cfbc0SXuan Hu sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 195730cfbc0SXuan Hu sink.bits.uop.robIdx := source.bits.robIdx 196730cfbc0SXuan Hu } 197c0be7f33SXuan Hu memScheduler.io.fromDataPath.resp := dataPath.io.toMemIQ 198fb4849e5SXuan Hu memScheduler.io.fromMem.get.ldaFeedback := io.mem.ldaIqFeedback 199fb4849e5SXuan Hu memScheduler.io.fromMem.get.staFeedback := io.mem.staIqFeedback 200c0be7f33SXuan Hu memScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 201ea46c302SXuan Hu memScheduler.io.fromDataPath.og0Cancel := og0CancelVec 202ea46c302SXuan Hu memScheduler.io.fromDataPath.og1Cancel := og1CancelVec 203730cfbc0SXuan Hu 204730cfbc0SXuan Hu vfScheduler.io.fromTop.hartId := io.fromTop.hartId 205730cfbc0SXuan Hu vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 206730cfbc0SXuan Hu vfScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 207730cfbc0SXuan Hu vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops 208730cfbc0SXuan Hu vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack) 209730cfbc0SXuan Hu vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 210c0be7f33SXuan Hu vfScheduler.io.fromDataPath.resp := dataPath.io.toVfIQ 211c0be7f33SXuan Hu vfScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 212ea46c302SXuan Hu vfScheduler.io.fromDataPath.og0Cancel := og0CancelVec 213ea46c302SXuan Hu vfScheduler.io.fromDataPath.og1Cancel := og1CancelVec 214730cfbc0SXuan Hu 2157fb1e4e4SXuan Hu cancelNetwork.io.in.int <> intScheduler.io.toDataPath 2167fb1e4e4SXuan Hu cancelNetwork.io.in.vf <> vfScheduler.io.toDataPath 2177fb1e4e4SXuan Hu cancelNetwork.io.in.mem <> memScheduler.io.toDataPath 2187fb1e4e4SXuan Hu cancelNetwork.io.in.og0CancelVec := og0CancelVecFromDataPath 2197fb1e4e4SXuan Hu cancelNetwork.io.in.og1CancelVec := og1CancelVec 22059ef6009Sxiaofeibao-xjtu intScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.int 22159ef6009Sxiaofeibao-xjtu vfScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.vf 22259ef6009Sxiaofeibao-xjtu memScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.mem 2237fb1e4e4SXuan Hu 224730cfbc0SXuan Hu dataPath.io.flush := ctrlBlock.io.toDataPath.flush 225d91483a6Sfdy dataPath.io.vconfigReadPort.addr := ctrlBlock.io.toDataPath.vtypeAddr 226fb4849e5SXuan Hu 22759ef6009Sxiaofeibao-xjtu dataPath.io.fromIntIQ <> intScheduler.io.toDataPathAfterDelay 22859ef6009Sxiaofeibao-xjtu dataPath.io.fromVfIQ <> vfScheduler.io.toDataPathAfterDelay 22959ef6009Sxiaofeibao-xjtu dataPath.io.fromMemIQ <> memScheduler.io.toDataPathAfterDelay 230730cfbc0SXuan Hu 231730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}") 232730cfbc0SXuan Hu println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}") 233730cfbc0SXuan Hu dataPath.io.fromIntWb := wbDataPath.io.toIntPreg 234730cfbc0SXuan Hu dataPath.io.fromVfWb := wbDataPath.io.toVfPreg 235730cfbc0SXuan Hu dataPath.io.debugIntRat := ctrlBlock.io.debug_int_rat 236730cfbc0SXuan Hu dataPath.io.debugFpRat := ctrlBlock.io.debug_fp_rat 237730cfbc0SXuan Hu dataPath.io.debugVecRat := ctrlBlock.io.debug_vec_rat 238a8db15d8Sfdy dataPath.io.debugVconfigRat := ctrlBlock.io.debug_vconfig_rat 239730cfbc0SXuan Hu 2405d2b9cadSXuan Hu bypassNetwork.io.fromDataPath.int <> dataPath.io.toIntExu 2415d2b9cadSXuan Hu bypassNetwork.io.fromDataPath.vf <> dataPath.io.toFpExu 2425d2b9cadSXuan Hu bypassNetwork.io.fromDataPath.mem <> dataPath.io.toMemExu 2435d2b9cadSXuan Hu bypassNetwork.io.fromExus.connectExuOutput(_.int)(intExuBlock.io.out) 2445d2b9cadSXuan Hu bypassNetwork.io.fromExus.connectExuOutput(_.vf)(vfExuBlock.io.out) 2455d2b9cadSXuan Hu bypassNetwork.io.fromExus.mem.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 2465d2b9cadSXuan Hu sink.valid := source.valid 2475d2b9cadSXuan Hu sink.bits.pdest := source.bits.uop.pdest 2485d2b9cadSXuan Hu sink.bits.data := source.bits.data 2495d2b9cadSXuan Hu } 2505d2b9cadSXuan Hu 251730cfbc0SXuan Hu intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 252730cfbc0SXuan Hu for (i <- 0 until intExuBlock.io.in.length) { 253730cfbc0SXuan Hu for (j <- 0 until intExuBlock.io.in(i).length) { 254c0be7f33SXuan Hu NewPipelineConnect( 255c0be7f33SXuan Hu bypassNetwork.io.toExus.int(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire, 256c0be7f33SXuan Hu Mux( 257c0be7f33SXuan Hu bypassNetwork.io.toExus.int(i)(j).fire, 2585d2b9cadSXuan Hu bypassNetwork.io.toExus.int(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 259c0be7f33SXuan Hu intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 260c0be7f33SXuan Hu ) 261c0be7f33SXuan Hu ) 262730cfbc0SXuan Hu } 263730cfbc0SXuan Hu } 264730cfbc0SXuan Hu 265730cfbc0SXuan Hu private val csrio = intExuBlock.io.csrio.get 266730cfbc0SXuan Hu csrio.hartId := io.fromTop.hartId 267730cfbc0SXuan Hu csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr 268730cfbc0SXuan Hu csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo 269730cfbc0SXuan Hu csrio.perf.perfEventsCtrl <> ctrlBlock.getPerf 270730cfbc0SXuan Hu csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags 271730cfbc0SXuan Hu csrio.fpu.isIllegal := false.B // Todo: remove it 272730cfbc0SXuan Hu csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs 273730cfbc0SXuan Hu csrio.vpu <> 0.U.asTypeOf(csrio.vpu) // Todo 274a8db15d8Sfdy 275a8db15d8Sfdy val debugVconfig = dataPath.io.debugVconfig.asTypeOf(new VConfig) 276a8db15d8Sfdy val debugVtype = VType.toVtypeStruct(debugVconfig.vtype).asUInt 277a8db15d8Sfdy val debugVl = debugVconfig.vl 27801ceb97cSZiyue Zhang csrio.vpu.set_vxsat := ctrlBlock.io.robio.csr.vxsat 279a8db15d8Sfdy csrio.vpu.set_vstart.valid := ctrlBlock.io.robio.csr.vcsrFlag 280d91483a6Sfdy csrio.vpu.set_vstart.bits := 0.U 281a8db15d8Sfdy csrio.vpu.set_vtype.valid := ctrlBlock.io.robio.csr.vcsrFlag 282a8db15d8Sfdy csrio.vpu.set_vtype.bits := ZeroExt(debugVtype, XLEN) 283a8db15d8Sfdy csrio.vpu.set_vl.valid := ctrlBlock.io.robio.csr.vcsrFlag 284a8db15d8Sfdy csrio.vpu.set_vl.bits := ZeroExt(debugVl, XLEN) 285730cfbc0SXuan Hu csrio.exception := ctrlBlock.io.robio.exception 286730cfbc0SXuan Hu csrio.memExceptionVAddr := io.mem.exceptionVAddr 287730cfbc0SXuan Hu csrio.externalInterrupt := io.fromTop.externalInterrupt 288730cfbc0SXuan Hu csrio.distributedUpdate(0) := io.mem.csrDistributedUpdate 289730cfbc0SXuan Hu csrio.distributedUpdate(1) := io.frontendCsrDistributedUpdate 290730cfbc0SXuan Hu csrio.perf <> io.perf 291730cfbc0SXuan Hu private val fenceio = intExuBlock.io.fenceio.get 292730cfbc0SXuan Hu fenceio.disableSfence := csrio.disableSfence 293730cfbc0SXuan Hu io.fenceio <> fenceio 294730cfbc0SXuan Hu 295730cfbc0SXuan Hu vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 296730cfbc0SXuan Hu for (i <- 0 until vfExuBlock.io.in.size) { 297730cfbc0SXuan Hu for (j <- 0 until vfExuBlock.io.in(i).size) { 298c0be7f33SXuan Hu NewPipelineConnect( 299c0be7f33SXuan Hu bypassNetwork.io.toExus.vf(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire, 300c0be7f33SXuan Hu Mux( 301c0be7f33SXuan Hu bypassNetwork.io.toExus.vf(i)(j).fire, 3025d2b9cadSXuan Hu bypassNetwork.io.toExus.vf(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 303c0be7f33SXuan Hu vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 304c0be7f33SXuan Hu ) 305c0be7f33SXuan Hu ) 306730cfbc0SXuan Hu } 307730cfbc0SXuan Hu } 308b6b11f60SXuan Hu vfExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 309730cfbc0SXuan Hu 310730cfbc0SXuan Hu wbDataPath.io.flush := ctrlBlock.io.redirect 311730cfbc0SXuan Hu wbDataPath.io.fromTop.hartId := io.fromTop.hartId 312730cfbc0SXuan Hu wbDataPath.io.fromIntExu <> intExuBlock.io.out 313730cfbc0SXuan Hu wbDataPath.io.fromVfExu <> vfExuBlock.io.out 314730cfbc0SXuan Hu wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 315730cfbc0SXuan Hu sink.valid := source.valid 316730cfbc0SXuan Hu source.ready := sink.ready 317730cfbc0SXuan Hu sink.bits.data := source.bits.data 318730cfbc0SXuan Hu sink.bits.pdest := source.bits.uop.pdest 319730cfbc0SXuan Hu sink.bits.robIdx := source.bits.uop.robIdx 320730cfbc0SXuan Hu sink.bits.intWen.foreach(_ := source.bits.uop.rfWen) 321730cfbc0SXuan Hu sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen) 322730cfbc0SXuan Hu sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen) 323730cfbc0SXuan Hu sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec) 324730cfbc0SXuan Hu sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe) 325730cfbc0SXuan Hu sink.bits.replay.foreach(_ := source.bits.uop.replayInst) 326730cfbc0SXuan Hu sink.bits.debug := source.bits.debug 327730cfbc0SXuan Hu sink.bits.debugInfo := 0.U.asTypeOf(sink.bits.debugInfo) 328730cfbc0SXuan Hu sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx) 329730cfbc0SXuan Hu sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx) 330730cfbc0SXuan Hu sink.bits.ftqIdx.foreach(_ := source.bits.uop.ftqPtr) 331730cfbc0SXuan Hu sink.bits.ftqOffset.foreach(_ := source.bits.uop.ftqOffset) 332730cfbc0SXuan Hu } 333730cfbc0SXuan Hu 334730cfbc0SXuan Hu // to mem 3355d2b9cadSXuan Hu private val toMem = Wire(bypassNetwork.io.toExus.mem.cloneType) 3365d2b9cadSXuan Hu for (i <- toMem.indices) { 3375d2b9cadSXuan Hu for (j <- toMem(i).indices) { 3385d2b9cadSXuan Hu NewPipelineConnect( 3395d2b9cadSXuan Hu bypassNetwork.io.toExus.mem(i)(j), toMem(i)(j), toMem(i)(j).fire, 3405d2b9cadSXuan Hu Mux( 3415d2b9cadSXuan Hu bypassNetwork.io.toExus.mem(i)(j).fire, 3425d2b9cadSXuan Hu bypassNetwork.io.toExus.mem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 3435d2b9cadSXuan Hu toMem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 3445d2b9cadSXuan Hu ) 3455d2b9cadSXuan Hu ) 3465d2b9cadSXuan Hu } 3475d2b9cadSXuan Hu } 3485d2b9cadSXuan Hu 349730cfbc0SXuan Hu io.mem.redirect := ctrlBlock.io.redirect 3505d2b9cadSXuan Hu io.mem.issueUops.zip(toMem.flatten).foreach { case (sink, source) => 351730cfbc0SXuan Hu sink.valid := source.valid 352730cfbc0SXuan Hu source.ready := sink.ready 353730cfbc0SXuan Hu sink.bits.iqIdx := source.bits.iqIdx 354730cfbc0SXuan Hu sink.bits.isFirstIssue := source.bits.isFirstIssue 355730cfbc0SXuan Hu sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 356730cfbc0SXuan Hu sink.bits.src := 0.U.asTypeOf(sink.bits.src) 357730cfbc0SXuan Hu sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r} 358730cfbc0SXuan Hu sink.bits.uop.fuType := source.bits.fuType 359730cfbc0SXuan Hu sink.bits.uop.fuOpType := source.bits.fuOpType 360730cfbc0SXuan Hu sink.bits.uop.imm := source.bits.imm 361730cfbc0SXuan Hu sink.bits.uop.robIdx := source.bits.robIdx 362730cfbc0SXuan Hu sink.bits.uop.pdest := source.bits.pdest 363730cfbc0SXuan Hu sink.bits.uop.rfWen := source.bits.rfWen.getOrElse(false.B) 364730cfbc0SXuan Hu sink.bits.uop.fpWen := source.bits.fpWen.getOrElse(false.B) 365730cfbc0SXuan Hu sink.bits.uop.vecWen := source.bits.vecWen.getOrElse(false.B) 366730cfbc0SXuan Hu sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B) 367730cfbc0SXuan Hu sink.bits.uop.pc := source.bits.pc.getOrElse(0.U) 368730cfbc0SXuan Hu sink.bits.uop.lqIdx := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 369730cfbc0SXuan Hu sink.bits.uop.sqIdx := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 370730cfbc0SXuan Hu sink.bits.uop.ftqPtr := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr)) 371730cfbc0SXuan Hu sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U) 372730cfbc0SXuan Hu } 373730cfbc0SXuan Hu io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch) 374730cfbc0SXuan Hu io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm) 375730cfbc0SXuan Hu io.mem.tlbCsr := csrio.tlb 376730cfbc0SXuan Hu io.mem.csrCtrl := csrio.customCtrl 377730cfbc0SXuan Hu io.mem.sfence := fenceio.sfence 378730cfbc0SXuan Hu io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType) 379730cfbc0SXuan Hu require(io.mem.loadPcRead.size == params.LduCnt) 380730cfbc0SXuan Hu io.mem.loadPcRead.zipWithIndex.foreach { case (loadPcRead, i) => 381730cfbc0SXuan Hu loadPcRead.data := ctrlBlock.io.memLdPcRead(i).data 382730cfbc0SXuan Hu ctrlBlock.io.memLdPcRead(i).ptr := loadPcRead.ptr 383730cfbc0SXuan Hu ctrlBlock.io.memLdPcRead(i).offset := loadPcRead.offset 384730cfbc0SXuan Hu } 385730cfbc0SXuan Hu // mem io 386730cfbc0SXuan Hu io.mem.lsqEnqIO <> memScheduler.io.memIO.get.lsqEnqIO 387730cfbc0SXuan Hu io.mem.robLsqIO <> ctrlBlock.io.robio.lsq 388730cfbc0SXuan Hu io.mem.toSbuffer <> fenceio.sbuffer 389730cfbc0SXuan Hu 390730cfbc0SXuan Hu io.frontendSfence := fenceio.sfence 391730cfbc0SXuan Hu io.frontendTlbCsr := csrio.tlb 392730cfbc0SXuan Hu io.frontendCsrCtrl := csrio.customCtrl 393730cfbc0SXuan Hu 394730cfbc0SXuan Hu io.tlb <> csrio.tlb 395730cfbc0SXuan Hu 396730cfbc0SXuan Hu io.csrCustomCtrl := csrio.customCtrl 397730cfbc0SXuan Hu 398730cfbc0SXuan Hu dontTouch(memScheduler.io) 399730cfbc0SXuan Hu dontTouch(io.mem) 400730cfbc0SXuan Hu dontTouch(dataPath.io.toMemExu) 401730cfbc0SXuan Hu dontTouch(wbDataPath.io.fromMemExu) 402730cfbc0SXuan Hu} 403730cfbc0SXuan Hu 404730cfbc0SXuan Huclass BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 40568d13085SXuan Hu // params alias 40668d13085SXuan Hu private val LoadQueueSize = VirtualLoadQueueSize 407730cfbc0SXuan Hu // In/Out // Todo: split it into one-direction bundle 408730cfbc0SXuan Hu val lsqEnqIO = Flipped(new LsqEnqIO) 409730cfbc0SXuan Hu val robLsqIO = new RobLsqIO 410730cfbc0SXuan Hu val toSbuffer = new FenceToSbuffer 4117b753bebSXuan Hu val ldaIqFeedback = Vec(params.LduCnt, Flipped(new MemRSFeedbackIO)) 4127b753bebSXuan Hu val staIqFeedback = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO)) 413730cfbc0SXuan Hu val loadPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 414730cfbc0SXuan Hu 415730cfbc0SXuan Hu // Input 4164ee69032SzhanglyGit val writeBack = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(Flipped(DecoupledIO(new MemExuOutput()))) ++ Seq.fill(params.VlduCnt)(Flipped(DecoupledIO(new MemExuOutput(true))))) 417730cfbc0SXuan Hu 418730cfbc0SXuan Hu val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool())) 419730cfbc0SXuan Hu val stIn = Input(Vec(params.StaCnt, ValidIO(new DynInst()))) 420730cfbc0SXuan Hu val memoryViolation = Flipped(ValidIO(new Redirect)) 421730cfbc0SXuan Hu val exceptionVAddr = Input(UInt(VAddrBits.W)) 42260f1a5feSzhanglyGit val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 42360f1a5feSzhanglyGit val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W)) 424730cfbc0SXuan Hu 42560f1a5feSzhanglyGit val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 426730cfbc0SXuan Hu val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 427730cfbc0SXuan Hu 428730cfbc0SXuan Hu val otherFastWakeup = Flipped(Vec(params.LduCnt + 2 * params.StaCnt, ValidIO(new DynInst))) 429730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr()) 430730cfbc0SXuan Hu 431730cfbc0SXuan Hu val csrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 432730cfbc0SXuan Hu 433730cfbc0SXuan Hu // Output 434730cfbc0SXuan Hu val redirect = ValidIO(new Redirect) // rob flush MemBlock 4354ee69032SzhanglyGit val issueUops = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(DecoupledIO(new MemExuInput())) ++ Seq.fill(params.VlduCnt)(DecoupledIO(new MemExuInput(true)))) 436730cfbc0SXuan Hu val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W))) 437730cfbc0SXuan Hu val loadFastImm = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I 438730cfbc0SXuan Hu 439730cfbc0SXuan Hu val tlbCsr = Output(new TlbCsrBundle) 440730cfbc0SXuan Hu val csrCtrl = Output(new CustomCSRCtrlIO) 441730cfbc0SXuan Hu val sfence = Output(new SfenceBundle) 442730cfbc0SXuan Hu val isStoreException = Output(Bool()) 443730cfbc0SXuan Hu} 444730cfbc0SXuan Hu 445730cfbc0SXuan Huclass BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 446730cfbc0SXuan Hu val fromTop = new Bundle { 447730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 448730cfbc0SXuan Hu val externalInterrupt = new ExternalInterruptIO 449730cfbc0SXuan Hu } 450730cfbc0SXuan Hu 451730cfbc0SXuan Hu val toTop = new Bundle { 452730cfbc0SXuan Hu val cpuHalted = Output(Bool()) 453730cfbc0SXuan Hu } 454730cfbc0SXuan Hu 455730cfbc0SXuan Hu val fenceio = new FenceIO 456730cfbc0SXuan Hu // Todo: merge these bundles into BackendFrontendIO 457730cfbc0SXuan Hu val frontend = Flipped(new FrontendToCtrlIO) 458730cfbc0SXuan Hu val frontendSfence = Output(new SfenceBundle) 459730cfbc0SXuan Hu val frontendCsrCtrl = Output(new CustomCSRCtrlIO) 460730cfbc0SXuan Hu val frontendTlbCsr = Output(new TlbCsrBundle) 461730cfbc0SXuan Hu // distributed csr write 462730cfbc0SXuan Hu val frontendCsrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 463730cfbc0SXuan Hu 464730cfbc0SXuan Hu val mem = new BackendMemIO 465730cfbc0SXuan Hu 466730cfbc0SXuan Hu val perf = Input(new PerfCounterIO) 467730cfbc0SXuan Hu 468730cfbc0SXuan Hu val tlb = Output(new TlbCsrBundle) 469730cfbc0SXuan Hu 470730cfbc0SXuan Hu val csrCustomCtrl = Output(new CustomCSRCtrlIO) 471730cfbc0SXuan Hu} 472