xref: /XiangShan/src/main/scala/xiangshan/backend/Backend.scala (revision 4c7680e068fa5d78388788d8bcc46893b51f56bb)
1730cfbc0SXuan Hupackage xiangshan.backend
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
4730cfbc0SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
7c0be7f33SXuan Huimport utility.ZeroExt
8730cfbc0SXuan Huimport xiangshan._
9f19cc441Szhanglinjuanimport xiangshan.backend.Bundles.{DynInst, IssueQueueIQWakeUpBundle, LoadShouldCancel, MemExuInput, MemExuOutput, VPUCtrlSignals}
10870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLSIO, LsTopdownInfo}
1139c59369SXuan Huimport xiangshan.backend.datapath.DataConfig.{IntData, VecData}
12c34b4b06SXuan Huimport xiangshan.backend.datapath.RdConfig.{IntRD, VfRD}
13730cfbc0SXuan Huimport xiangshan.backend.datapath.WbConfig._
14c34b4b06SXuan Huimport xiangshan.backend.datapath._
1583ba63b3SXuan Huimport xiangshan.backend.dispatch.CoreDispatchTopDownIO
16730cfbc0SXuan Huimport xiangshan.backend.exu.ExuBlock
17a8db15d8Sfdyimport xiangshan.backend.fu.vector.Bundles.{VConfig, VType}
185b35049aSHaojin Tangimport xiangshan.backend.fu.{FenceIO, FenceToSbuffer, FuConfig, FuType, PerfCounterIO}
1983ba63b3SXuan Huimport xiangshan.backend.issue.{CancelNetwork, Scheduler, SchedulerImpBase}
2083ba63b3SXuan Huimport xiangshan.backend.rob.{RobCoreTopDownIO, RobDebugRollingIO, RobLsqIO}
21730cfbc0SXuan Huimport xiangshan.frontend.{FtqPtr, FtqRead}
22730cfbc0SXuan Huimport xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr}
23730cfbc0SXuan Hu
24730cfbc0SXuan Huclass Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule
25730cfbc0SXuan Hu  with HasXSParameter {
26730cfbc0SXuan Hu
271ca4a39dSXuan Hu  override def shouldBeInlined: Boolean = false
281ca4a39dSXuan Hu
299b258a00Sxgkiri  /* Only update the idx in mem-scheduler here
309b258a00Sxgkiri   * Idx in other schedulers can be updated the same way if needed
319b258a00Sxgkiri   *
329b258a00Sxgkiri   * Also note that we filter out the 'stData issue-queues' when counting
339b258a00Sxgkiri   */
342d270511Ssinsanction  for ((ibp, idx) <- params.memSchdParams.get.issueBlockParams.filter(iq => iq.StdCnt == 0 && iq.VstdCnt == 0).zipWithIndex) {
359b258a00Sxgkiri    ibp.updateIdx(idx)
369b258a00Sxgkiri  }
379b258a00Sxgkiri
38bf35baadSXuan Hu  println(params.iqWakeUpParams)
39bf35baadSXuan Hu
40dd473fffSXuan Hu  for ((schdCfg, i) <- params.allSchdParams.zipWithIndex) {
41dd473fffSXuan Hu    schdCfg.bindBackendParam(params)
42dd473fffSXuan Hu  }
43dd473fffSXuan Hu
44dd473fffSXuan Hu  for ((iqCfg, i) <- params.allIssueParams.zipWithIndex) {
45dd473fffSXuan Hu    iqCfg.bindBackendParam(params)
46dd473fffSXuan Hu  }
47dd473fffSXuan Hu
48bf35baadSXuan Hu  for ((exuCfg, i) <- params.allExuParams.zipWithIndex) {
49b133b458SXuan Hu    exuCfg.bindBackendParam(params)
50bf35baadSXuan Hu    exuCfg.updateIQWakeUpConfigs(params.iqWakeUpParams)
51bf35baadSXuan Hu    exuCfg.updateExuIdx(i)
52bf35baadSXuan Hu  }
53bf35baadSXuan Hu
540655b1a0SXuan Hu  println("[Backend] ExuConfigs:")
55730cfbc0SXuan Hu  for (exuCfg <- params.allExuParams) {
56730cfbc0SXuan Hu    val fuConfigs = exuCfg.fuConfigs
57730cfbc0SXuan Hu    val wbPortConfigs = exuCfg.wbPortConfigs
58730cfbc0SXuan Hu    val immType = exuCfg.immType
59bf44d649SXuan Hu
600655b1a0SXuan Hu    println("[Backend]   " +
610655b1a0SXuan Hu      s"${exuCfg.name}: " +
62670870b3SXuan Hu      (if (exuCfg.fakeUnit) "fake, " else "") +
6304c99ecaSXuan Hu      (if (exuCfg.hasLoadFu || exuCfg.hasHyldaFu) s"LdExuIdx(${backendParams.getLdExuIdx(exuCfg)})" else "") +
640655b1a0SXuan Hu      s"${fuConfigs.map(_.name).mkString("fu(s): {", ",", "}")}, " +
650655b1a0SXuan Hu      s"${wbPortConfigs.mkString("wb: {", ",", "}")}, " +
66bf44d649SXuan Hu      s"${immType.map(SelImm.mkString(_)).mkString("imm: {", ",", "}")}, " +
67670870b3SXuan Hu      s"latMax(${exuCfg.latencyValMax}), ${exuCfg.fuLatancySet.mkString("lat: {", ",", "}")}, " +
68670870b3SXuan Hu      s"srcReg(${exuCfg.numRegSrc})"
69c0be7f33SXuan Hu    )
70c0be7f33SXuan Hu    require(
71c0be7f33SXuan Hu      wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty ==
72730cfbc0SXuan Hu        fuConfigs.map(_.writeIntRf).reduce(_ || _),
73*4c7680e0SXuan Hu      s"${exuCfg.name} int wb port has no priority"
74c0be7f33SXuan Hu    )
75c0be7f33SXuan Hu    require(
76c0be7f33SXuan Hu      wbPortConfigs.collectFirst { case x: VfWB => x }.nonEmpty ==
77730cfbc0SXuan Hu        fuConfigs.map(x => x.writeFpRf || x.writeVecRf).reduce(_ || _),
78*4c7680e0SXuan Hu      s"${exuCfg.name} vec wb port has no priority"
79c0be7f33SXuan Hu    )
80730cfbc0SXuan Hu  }
81730cfbc0SXuan Hu
82c34b4b06SXuan Hu  println(s"[Backend] all fu configs")
83b6b11f60SXuan Hu  for (cfg <- FuConfig.allConfigs) {
84b6b11f60SXuan Hu    println(s"[Backend]   $cfg")
85b6b11f60SXuan Hu  }
86b6b11f60SXuan Hu
87c34b4b06SXuan Hu  println(s"[Backend] Int RdConfigs: ExuName(Priority)")
8839c59369SXuan Hu  for ((port, seq) <- params.getRdPortParams(IntData())) {
89c34b4b06SXuan Hu    println(s"[Backend]   port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}")
90c34b4b06SXuan Hu  }
91c34b4b06SXuan Hu
92c34b4b06SXuan Hu  println(s"[Backend] Int WbConfigs: ExuName(Priority)")
9339c59369SXuan Hu  for ((port, seq) <- params.getWbPortParams(IntData())) {
94c34b4b06SXuan Hu    println(s"[Backend]   port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}")
95c34b4b06SXuan Hu  }
96c34b4b06SXuan Hu
97c34b4b06SXuan Hu  println(s"[Backend] Vf RdConfigs: ExuName(Priority)")
9839c59369SXuan Hu  for ((port, seq) <- params.getRdPortParams(VecData())) {
99c34b4b06SXuan Hu    println(s"[Backend]   port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}")
100c34b4b06SXuan Hu  }
101c34b4b06SXuan Hu
102c34b4b06SXuan Hu  println(s"[Backend] Vf WbConfigs: ExuName(Priority)")
10339c59369SXuan Hu  for ((port, seq) <- params.getWbPortParams(VecData())) {
104c34b4b06SXuan Hu    println(s"[Backend]   port($port): ${seq.map(x => params.getExuName(x._1) + "(" + x._2.toString + ")").mkString(",")}")
105c34b4b06SXuan Hu  }
106c34b4b06SXuan Hu
107730cfbc0SXuan Hu  val ctrlBlock = LazyModule(new CtrlBlock(params))
108d8a24b06SzhanglyGit  val pcTargetMem = LazyModule(new PcTargetMem(params))
109730cfbc0SXuan Hu  val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x)))
110730cfbc0SXuan Hu  val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x)))
111730cfbc0SXuan Hu  val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x)))
1127fb1e4e4SXuan Hu  val cancelNetwork = LazyModule(new CancelNetwork(params))
113730cfbc0SXuan Hu  val dataPath = LazyModule(new DataPath(params))
114730cfbc0SXuan Hu  val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x)))
115730cfbc0SXuan Hu  val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x)))
1167f847969SzhanglyGit  val wbFuBusyTable = LazyModule(new WbFuBusyTable(params))
117730cfbc0SXuan Hu
118730cfbc0SXuan Hu  lazy val module = new BackendImp(this)
119730cfbc0SXuan Hu}
120730cfbc0SXuan Hu
121d91483a6Sfdyclass BackendImp(override val wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper)
122d91483a6Sfdy  with HasXSParameter {
123730cfbc0SXuan Hu  implicit private val params = wrapper.params
124870f462dSXuan Hu
125730cfbc0SXuan Hu  val io = IO(new BackendIO()(p, wrapper.params))
126730cfbc0SXuan Hu
127730cfbc0SXuan Hu  private val ctrlBlock = wrapper.ctrlBlock.module
128d8a24b06SzhanglyGit  private val pcTargetMem = wrapper.pcTargetMem.module
12983ba63b3SXuan Hu  private val intScheduler: SchedulerImpBase = wrapper.intScheduler.get.module
130730cfbc0SXuan Hu  private val vfScheduler = wrapper.vfScheduler.get.module
131730cfbc0SXuan Hu  private val memScheduler = wrapper.memScheduler.get.module
1327fb1e4e4SXuan Hu  private val cancelNetwork = wrapper.cancelNetwork.module
133730cfbc0SXuan Hu  private val dataPath = wrapper.dataPath.module
134730cfbc0SXuan Hu  private val intExuBlock = wrapper.intExuBlock.get.module
135730cfbc0SXuan Hu  private val vfExuBlock = wrapper.vfExuBlock.get.module
1365d2b9cadSXuan Hu  private val bypassNetwork = Module(new BypassNetwork)
137730cfbc0SXuan Hu  private val wbDataPath = Module(new WbDataPath(params))
1387f847969SzhanglyGit  private val wbFuBusyTable = wrapper.wbFuBusyTable.module
139730cfbc0SXuan Hu
140c0be7f33SXuan Hu  private val iqWakeUpMappedBundle: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] = (
141bf35baadSXuan Hu    intScheduler.io.toSchedulers.wakeupVec ++
142bf35baadSXuan Hu      vfScheduler.io.toSchedulers.wakeupVec ++
143bf35baadSXuan Hu      memScheduler.io.toSchedulers.wakeupVec
144c0be7f33SXuan Hu    ).map(x => (x.bits.exuIdx, x)).toMap
145bf35baadSXuan Hu
146bf35baadSXuan Hu  println(s"[Backend] iq wake up keys: ${iqWakeUpMappedBundle.keys}")
147bf35baadSXuan Hu
148dd970561SzhanglyGit  wbFuBusyTable.io.in.intSchdBusyTable := intScheduler.io.wbFuBusyTable
149dd970561SzhanglyGit  wbFuBusyTable.io.in.vfSchdBusyTable := vfScheduler.io.wbFuBusyTable
150dd970561SzhanglyGit  wbFuBusyTable.io.in.memSchdBusyTable := memScheduler.io.wbFuBusyTable
151dd970561SzhanglyGit  intScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.intRespRead
152dd970561SzhanglyGit  vfScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.vfRespRead
153dd970561SzhanglyGit  memScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.memRespRead
154dd970561SzhanglyGit  dataPath.io.wbConfictRead := wbFuBusyTable.io.out.wbConflictRead
1552e0a7dc5Sfdy
1568d29ec32Sczw  wbDataPath.io.fromIntExu.flatten.filter(x => x.bits.params.writeIntRf)
1572e0a7dc5Sfdy
158fb4849e5SXuan Hu  private val vconfig = dataPath.io.vconfigReadPort.data
1597a96cc7fSHaojin Tang  private val og1CancelOH: UInt = dataPath.io.og1CancelOH
1607a96cc7fSHaojin Tang  private val og0CancelOHFromDataPath: UInt = dataPath.io.og0CancelOH
1617a96cc7fSHaojin Tang  private val og0CancelOHFromCancelNet: UInt = cancelNetwork.io.out.og0CancelOH
1627a96cc7fSHaojin Tang  private val og0CancelOHFromFinalIssue: UInt = Wire(chiselTypeOf(dataPath.io.og0CancelOH))
1637a96cc7fSHaojin Tang  private val og0CancelOH: UInt = og0CancelOHFromDataPath | og0CancelOHFromCancelNet | og0CancelOHFromFinalIssue
164bc7d6943SzhanglyGit  private val cancelToBusyTable = dataPath.io.cancelToBusyTable
165fb4849e5SXuan Hu
166730cfbc0SXuan Hu  ctrlBlock.io.fromTop.hartId := io.fromTop.hartId
167730cfbc0SXuan Hu  ctrlBlock.io.frontend <> io.frontend
168730cfbc0SXuan Hu  ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback
169730cfbc0SXuan Hu  ctrlBlock.io.fromMem.stIn <> io.mem.stIn
170730cfbc0SXuan Hu  ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation
17117b21f45SHaojin Tang  ctrlBlock.io.lqCanAccept := io.mem.lqCanAccept
17217b21f45SHaojin Tang  ctrlBlock.io.sqCanAccept := io.mem.sqCanAccept
173730cfbc0SXuan Hu  ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl
174730cfbc0SXuan Hu  ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt
175730cfbc0SXuan Hu  ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget
176730cfbc0SXuan Hu  ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet
177730cfbc0SXuan Hu  ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event
178730cfbc0SXuan Hu  ctrlBlock.io.robio.lsq <> io.mem.robLsqIO
17917b21f45SHaojin Tang  ctrlBlock.io.robio.lsTopdownInfo <> io.mem.lsTopdownInfo
18017b21f45SHaojin Tang  ctrlBlock.io.robio.debug_ls <> io.mem.debugLS
181fb4849e5SXuan Hu  ctrlBlock.io.fromDataPath.vtype := vconfig(7, 0).asTypeOf(new VType)
18216782ac3SHaojin Tang  ctrlBlock.perfinfo := DontCare // TODO: Implement backend hpm
1836ce10964SXuan Hu  ctrlBlock.io.debugEnqLsq.canAccept := io.mem.lsqEnqIO.canAccept
1846ce10964SXuan Hu  ctrlBlock.io.debugEnqLsq.resp := io.mem.lsqEnqIO.resp
1856ce10964SXuan Hu  ctrlBlock.io.debugEnqLsq.req := memScheduler.io.memIO.get.lsqEnqIO.req
1866ce10964SXuan Hu  ctrlBlock.io.debugEnqLsq.needAlloc := memScheduler.io.memIO.get.lsqEnqIO.needAlloc
1876ce10964SXuan Hu
188730cfbc0SXuan Hu
189730cfbc0SXuan Hu  intScheduler.io.fromTop.hartId := io.fromTop.hartId
190730cfbc0SXuan Hu  intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush
191730cfbc0SXuan Hu  intScheduler.io.fromCtrlBlock.pcVec := ctrlBlock.io.toIssueBlock.pcVec
192730cfbc0SXuan Hu  intScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs
193730cfbc0SXuan Hu  intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops
194730cfbc0SXuan Hu  intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg
195730cfbc0SXuan Hu  intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack)
196c0be7f33SXuan Hu  intScheduler.io.fromDataPath.resp := dataPath.io.toIntIQ
197c0be7f33SXuan Hu  intScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) }
1987a96cc7fSHaojin Tang  intScheduler.io.fromDataPath.og0Cancel := og0CancelOH
1997a96cc7fSHaojin Tang  intScheduler.io.fromDataPath.og1Cancel := og1CancelOH
2000f55a0d3SHaojin Tang  intScheduler.io.ldCancel := io.mem.ldCancel
201bc7d6943SzhanglyGit  intScheduler.io.fromDataPath.cancelToBusyTable := cancelToBusyTable
202730cfbc0SXuan Hu
203730cfbc0SXuan Hu  memScheduler.io.fromTop.hartId := io.fromTop.hartId
204730cfbc0SXuan Hu  memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush
205730cfbc0SXuan Hu  memScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs
206730cfbc0SXuan Hu  memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops
207730cfbc0SXuan Hu  memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg
208730cfbc0SXuan Hu  memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg
209730cfbc0SXuan Hu  memScheduler.io.fromMem.get.scommit := io.mem.sqDeq
210e450f9ecSXuan Hu  memScheduler.io.fromMem.get.lcommit := io.mem.lqDeq
2112d270511Ssinsanction  memScheduler.io.fromMem.get.sqDeqPtr := io.mem.sqDeqPtr
2122d270511Ssinsanction  memScheduler.io.fromMem.get.lqDeqPtr := io.mem.lqDeqPtr
213730cfbc0SXuan Hu  memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt
214730cfbc0SXuan Hu  memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt
215730cfbc0SXuan Hu  memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr
21606083203SHaojin Tang  memScheduler.io.fromMem.get.memWaitUpdateReq.robIdx.zip(io.mem.stIn).foreach { case (sink, source) =>
217730cfbc0SXuan Hu    sink.valid := source.valid
21806083203SHaojin Tang    sink.bits  := source.bits.robIdx
219730cfbc0SXuan Hu  }
22006083203SHaojin Tang  memScheduler.io.fromMem.get.memWaitUpdateReq.sqIdx := DontCare // TODO
221c0be7f33SXuan Hu  memScheduler.io.fromDataPath.resp := dataPath.io.toMemIQ
222fb4849e5SXuan Hu  memScheduler.io.fromMem.get.ldaFeedback := io.mem.ldaIqFeedback
223fb4849e5SXuan Hu  memScheduler.io.fromMem.get.staFeedback := io.mem.staIqFeedback
2248f1fa9b1Ssfencevma  memScheduler.io.fromMem.get.hyuFeedback := io.mem.hyuIqFeedback
225c0be7f33SXuan Hu  memScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) }
2267a96cc7fSHaojin Tang  memScheduler.io.fromDataPath.og0Cancel := og0CancelOH
2277a96cc7fSHaojin Tang  memScheduler.io.fromDataPath.og1Cancel := og1CancelOH
2280f55a0d3SHaojin Tang  memScheduler.io.ldCancel := io.mem.ldCancel
229bc7d6943SzhanglyGit  memScheduler.io.fromDataPath.cancelToBusyTable := cancelToBusyTable
230730cfbc0SXuan Hu
231730cfbc0SXuan Hu  vfScheduler.io.fromTop.hartId := io.fromTop.hartId
232730cfbc0SXuan Hu  vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush
233730cfbc0SXuan Hu  vfScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs
234730cfbc0SXuan Hu  vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops
235730cfbc0SXuan Hu  vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack)
236730cfbc0SXuan Hu  vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg
237c0be7f33SXuan Hu  vfScheduler.io.fromDataPath.resp := dataPath.io.toVfIQ
238c0be7f33SXuan Hu  vfScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) }
2397a96cc7fSHaojin Tang  vfScheduler.io.fromDataPath.og0Cancel := og0CancelOH
2407a96cc7fSHaojin Tang  vfScheduler.io.fromDataPath.og1Cancel := og1CancelOH
2410f55a0d3SHaojin Tang  vfScheduler.io.ldCancel := io.mem.ldCancel
242bc7d6943SzhanglyGit  vfScheduler.io.fromDataPath.cancelToBusyTable := cancelToBusyTable
243730cfbc0SXuan Hu
2447fb1e4e4SXuan Hu  cancelNetwork.io.in.int <> intScheduler.io.toDataPath
2457fb1e4e4SXuan Hu  cancelNetwork.io.in.vf  <> vfScheduler.io.toDataPath
2467fb1e4e4SXuan Hu  cancelNetwork.io.in.mem <> memScheduler.io.toDataPath
2477a96cc7fSHaojin Tang  cancelNetwork.io.in.og0CancelOH := og0CancelOHFromDataPath | og0CancelOHFromFinalIssue
2487a96cc7fSHaojin Tang  cancelNetwork.io.in.og1CancelOH := og1CancelOH
24959ef6009Sxiaofeibao-xjtu  intScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.int
25059ef6009Sxiaofeibao-xjtu  vfScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.vf
25159ef6009Sxiaofeibao-xjtu  memScheduler.io.fromCancelNetwork <> cancelNetwork.io.out.mem
2527fb1e4e4SXuan Hu
2537eea175bSHaojin Tang  dataPath.io.hartId := io.fromTop.hartId
254730cfbc0SXuan Hu  dataPath.io.flush := ctrlBlock.io.toDataPath.flush
255d91483a6Sfdy  dataPath.io.vconfigReadPort.addr := ctrlBlock.io.toDataPath.vtypeAddr
256e703da02SzhanglyGit  dataPath.io.vldReadPort.addr := wbDataPath.io.oldVdAddrToDataPath
257fb4849e5SXuan Hu
25859ef6009Sxiaofeibao-xjtu  dataPath.io.fromIntIQ <> intScheduler.io.toDataPathAfterDelay
25959ef6009Sxiaofeibao-xjtu  dataPath.io.fromVfIQ <> vfScheduler.io.toDataPathAfterDelay
26059ef6009Sxiaofeibao-xjtu  dataPath.io.fromMemIQ <> memScheduler.io.toDataPathAfterDelay
261730cfbc0SXuan Hu
2620f55a0d3SHaojin Tang  dataPath.io.ldCancel := io.mem.ldCancel
2630f55a0d3SHaojin Tang
264730cfbc0SXuan Hu  println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}")
265730cfbc0SXuan Hu  println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}")
266730cfbc0SXuan Hu  dataPath.io.fromIntWb := wbDataPath.io.toIntPreg
267730cfbc0SXuan Hu  dataPath.io.fromVfWb := wbDataPath.io.toVfPreg
268b7d9e8d5Sxiaofeibao-xjtu  dataPath.io.debugIntRat    .foreach(_ := ctrlBlock.io.debug_int_rat.get)
269b7d9e8d5Sxiaofeibao-xjtu  dataPath.io.debugFpRat     .foreach(_ := ctrlBlock.io.debug_fp_rat.get)
270b7d9e8d5Sxiaofeibao-xjtu  dataPath.io.debugVecRat    .foreach(_ := ctrlBlock.io.debug_vec_rat.get)
271b7d9e8d5Sxiaofeibao-xjtu  dataPath.io.debugVconfigRat.foreach(_ := ctrlBlock.io.debug_vconfig_rat.get)
272730cfbc0SXuan Hu
2735d2b9cadSXuan Hu  bypassNetwork.io.fromDataPath.int <> dataPath.io.toIntExu
2745d2b9cadSXuan Hu  bypassNetwork.io.fromDataPath.vf <> dataPath.io.toFpExu
2755d2b9cadSXuan Hu  bypassNetwork.io.fromDataPath.mem <> dataPath.io.toMemExu
2765d2b9cadSXuan Hu  bypassNetwork.io.fromExus.connectExuOutput(_.int)(intExuBlock.io.out)
2775d2b9cadSXuan Hu  bypassNetwork.io.fromExus.connectExuOutput(_.vf)(vfExuBlock.io.out)
278f9f1abd7SXuan Hu
279c838dea1SXuan Hu  require(bypassNetwork.io.fromExus.mem.flatten.size == io.mem.writeBack.size,
280670870b3SXuan Hu    s"bypassNetwork.io.fromExus.mem.flatten.size(${bypassNetwork.io.fromExus.mem.flatten.size}: ${bypassNetwork.io.fromExus.mem.map(_.size)}, " +
281c838dea1SXuan Hu    s"io.mem.writeback(${io.mem.writeBack.size})"
282670870b3SXuan Hu  )
283c838dea1SXuan Hu  bypassNetwork.io.fromExus.mem.flatten.zip(io.mem.writeBack).foreach { case (sink, source) =>
2845d2b9cadSXuan Hu    sink.valid := source.valid
2855d2b9cadSXuan Hu    sink.bits.pdest := source.bits.uop.pdest
2865d2b9cadSXuan Hu    sink.bits.data := source.bits.data
2875d2b9cadSXuan Hu  }
2885d2b9cadSXuan Hu
289d8a24b06SzhanglyGit
290730cfbc0SXuan Hu  intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush
291730cfbc0SXuan Hu  for (i <- 0 until intExuBlock.io.in.length) {
292730cfbc0SXuan Hu    for (j <- 0 until intExuBlock.io.in(i).length) {
2930f55a0d3SHaojin Tang      val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.int(i)(j).bits.loadDependency, io.mem.ldCancel)
294c0be7f33SXuan Hu      NewPipelineConnect(
295c0be7f33SXuan Hu        bypassNetwork.io.toExus.int(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire,
296c0be7f33SXuan Hu        Mux(
297c0be7f33SXuan Hu          bypassNetwork.io.toExus.int(i)(j).fire,
2980f55a0d3SHaojin Tang          bypassNetwork.io.toExus.int(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel,
299c0be7f33SXuan Hu          intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush)
300c0be7f33SXuan Hu        )
301c0be7f33SXuan Hu      )
302730cfbc0SXuan Hu    }
303730cfbc0SXuan Hu  }
304730cfbc0SXuan Hu
305d8a24b06SzhanglyGit  pcTargetMem.io.fromFrontendFtq := io.frontend.fromFtq
30683ba63b3SXuan Hu  pcTargetMem.io.fromDataPathFtq := bypassNetwork.io.toExus.int.flatten.filter(_.bits.params.hasPredecode).map(_.bits.ftqIdx.get).toSeq
307d8a24b06SzhanglyGit  intExuBlock.io.in.flatten.filter(_.bits.params.hasPredecode).map(_.bits.predictInfo.get.target).zipWithIndex.foreach {
308d8a24b06SzhanglyGit    case (sink, i) =>
309d8a24b06SzhanglyGit      sink := pcTargetMem.io.toExus(i)
310d8a24b06SzhanglyGit  }
311d8a24b06SzhanglyGit
312730cfbc0SXuan Hu  private val csrio = intExuBlock.io.csrio.get
313730cfbc0SXuan Hu  csrio.hartId := io.fromTop.hartId
314730cfbc0SXuan Hu  csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags
315730cfbc0SXuan Hu  csrio.fpu.isIllegal := false.B // Todo: remove it
316730cfbc0SXuan Hu  csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs
317730cfbc0SXuan Hu  csrio.vpu <> 0.U.asTypeOf(csrio.vpu) // Todo
318a8db15d8Sfdy
319b7d9e8d5Sxiaofeibao-xjtu  val debugVconfig = dataPath.io.debugVconfig.get.asTypeOf(new VConfig)
320a8db15d8Sfdy  val debugVtype = VType.toVtypeStruct(debugVconfig.vtype).asUInt
321a8db15d8Sfdy  val debugVl = debugVconfig.vl
32201ceb97cSZiyue Zhang  csrio.vpu.set_vxsat := ctrlBlock.io.robio.csr.vxsat
323e703da02SzhanglyGit  csrio.vpu.set_vstart.valid := ctrlBlock.io.robio.csr.vstart.valid
324e703da02SzhanglyGit  csrio.vpu.set_vstart.bits := ctrlBlock.io.robio.csr.vstart.bits
325a8db15d8Sfdy  csrio.vpu.set_vtype.valid := ctrlBlock.io.robio.csr.vcsrFlag
326b7d9e8d5Sxiaofeibao-xjtu  //Todo here need change design
327a8db15d8Sfdy  csrio.vpu.set_vtype.bits := ZeroExt(debugVtype, XLEN)
328a8db15d8Sfdy  csrio.vpu.set_vl.valid := ctrlBlock.io.robio.csr.vcsrFlag
329a8db15d8Sfdy  csrio.vpu.set_vl.bits := ZeroExt(debugVl, XLEN)
330730cfbc0SXuan Hu  csrio.exception := ctrlBlock.io.robio.exception
331730cfbc0SXuan Hu  csrio.memExceptionVAddr := io.mem.exceptionVAddr
332730cfbc0SXuan Hu  csrio.externalInterrupt := io.fromTop.externalInterrupt
333730cfbc0SXuan Hu  csrio.distributedUpdate(0) := io.mem.csrDistributedUpdate
334730cfbc0SXuan Hu  csrio.distributedUpdate(1) := io.frontendCsrDistributedUpdate
335730cfbc0SXuan Hu  csrio.perf <> io.perf
33686e04cc0SHaojin Tang  csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr
33786e04cc0SHaojin Tang  csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo
33886e04cc0SHaojin Tang  csrio.perf.perfEventsCtrl <> ctrlBlock.getPerf
339730cfbc0SXuan Hu  private val fenceio = intExuBlock.io.fenceio.get
340730cfbc0SXuan Hu  io.fenceio <> fenceio
341fa3c7ee7SHaojin Tang  fenceio.disableSfence := csrio.disableSfence
342730cfbc0SXuan Hu
343730cfbc0SXuan Hu  vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush
344730cfbc0SXuan Hu  for (i <- 0 until vfExuBlock.io.in.size) {
345730cfbc0SXuan Hu    for (j <- 0 until vfExuBlock.io.in(i).size) {
3460f55a0d3SHaojin Tang      val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.vf(i)(j).bits.loadDependency, io.mem.ldCancel)
347c0be7f33SXuan Hu      NewPipelineConnect(
348c0be7f33SXuan Hu        bypassNetwork.io.toExus.vf(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire,
349c0be7f33SXuan Hu        Mux(
350c0be7f33SXuan Hu          bypassNetwork.io.toExus.vf(i)(j).fire,
3510f55a0d3SHaojin Tang          bypassNetwork.io.toExus.vf(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel,
352c0be7f33SXuan Hu          vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush)
353c0be7f33SXuan Hu        )
354c0be7f33SXuan Hu      )
35585f2adbfSsinsanction
35685f2adbfSsinsanction      vfExuBlock.io.in(i)(j).bits.vpu.foreach(_.vstart := csrio.vpu.vstart)
357730cfbc0SXuan Hu    }
358730cfbc0SXuan Hu  }
359b0507133SHaojin Tang
360b0507133SHaojin Tang  intExuBlock.io.frm.foreach(_ := csrio.fpu.frm)
361b6b11f60SXuan Hu  vfExuBlock.io.frm.foreach(_ := csrio.fpu.frm)
362730cfbc0SXuan Hu
363730cfbc0SXuan Hu  wbDataPath.io.flush := ctrlBlock.io.redirect
364e703da02SzhanglyGit  wbDataPath.io.oldVdDataFromDataPath := dataPath.io.vldReadPort.data
365730cfbc0SXuan Hu  wbDataPath.io.fromTop.hartId := io.fromTop.hartId
366730cfbc0SXuan Hu  wbDataPath.io.fromIntExu <> intExuBlock.io.out
367730cfbc0SXuan Hu  wbDataPath.io.fromVfExu <> vfExuBlock.io.out
368c838dea1SXuan Hu  wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) =>
369730cfbc0SXuan Hu    sink.valid := source.valid
370730cfbc0SXuan Hu    source.ready := sink.ready
371730cfbc0SXuan Hu    sink.bits.data   := source.bits.data
372730cfbc0SXuan Hu    sink.bits.pdest  := source.bits.uop.pdest
373730cfbc0SXuan Hu    sink.bits.robIdx := source.bits.uop.robIdx
374730cfbc0SXuan Hu    sink.bits.intWen.foreach(_ := source.bits.uop.rfWen)
375730cfbc0SXuan Hu    sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen)
376730cfbc0SXuan Hu    sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen)
377730cfbc0SXuan Hu    sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec)
378730cfbc0SXuan Hu    sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe)
379730cfbc0SXuan Hu    sink.bits.replay.foreach(_ := source.bits.uop.replayInst)
380730cfbc0SXuan Hu    sink.bits.debug := source.bits.debug
38196e858baSXuan Hu    sink.bits.debugInfo := source.bits.uop.debugInfo
382730cfbc0SXuan Hu    sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx)
383730cfbc0SXuan Hu    sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx)
38498d3cb16SXuan Hu    sink.bits.vls.foreach(x => {
3857ca7ad94Szhanglinjuan      x.vdIdx := source.bits.vdIdx.get
386dbc1c7fcSzhanglinjuan      x.vdIdxInField := source.bits.vdIdxInField.get
38798d3cb16SXuan Hu      x.vpu   := source.bits.uop.vpu
38898d3cb16SXuan Hu      x.oldVdPsrc := source.bits.uop.psrc(2)
38992c6b7edSzhanglinjuan      x.isIndexed := VlduType.isIndexed(source.bits.uop.fuOpType)
39098d3cb16SXuan Hu    })
391f7af4c74Schengguanghui    sink.bits.trigger.foreach(_ := source.bits.uop.trigger)
392730cfbc0SXuan Hu  }
393730cfbc0SXuan Hu
394730cfbc0SXuan Hu  // to mem
3950f55a0d3SHaojin Tang  private val memIssueParams = params.memSchdParams.get.issueBlockParams
3968a66c02cSXuan Hu  private val memExuBlocksHasLDU = memIssueParams.map(_.exuBlockParams.map(x => x.hasLoadFu || x.hasHyldaFu))
397b133b458SXuan Hu  println(s"[Backend] memExuBlocksHasLDU: $memExuBlocksHasLDU")
398b133b458SXuan Hu
3995d2b9cadSXuan Hu  private val toMem = Wire(bypassNetwork.io.toExus.mem.cloneType)
4005d2b9cadSXuan Hu  for (i <- toMem.indices) {
4015d2b9cadSXuan Hu    for (j <- toMem(i).indices) {
4020f55a0d3SHaojin Tang      val shouldLdCancel = LoadShouldCancel(bypassNetwork.io.toExus.mem(i)(j).bits.loadDependency, io.mem.ldCancel)
4030f55a0d3SHaojin Tang      val issueTimeout =
4040f55a0d3SHaojin Tang        if (memExuBlocksHasLDU(i)(j))
4050f55a0d3SHaojin Tang          Counter(0 until 16, toMem(i)(j).valid && !toMem(i)(j).fire, bypassNetwork.io.toExus.mem(i)(j).fire)._2
4060f55a0d3SHaojin Tang        else
4070f55a0d3SHaojin Tang          false.B
4080f55a0d3SHaojin Tang
409ecfc6f16SXuan Hu      if (memScheduler.io.loadFinalIssueResp(i).nonEmpty && memExuBlocksHasLDU(i)(j)) {
4100f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).valid := issueTimeout
4110f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).bits.dataInvalidSqIdx := DontCare
4120f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).bits.fuType := toMem(i)(j).bits.fuType
4130f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).bits.respType := RSFeedbackType.fuBusy
4140f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).bits.rfWen := toMem(i)(j).bits.rfWen.getOrElse(false.B)
4150f55a0d3SHaojin Tang        memScheduler.io.loadFinalIssueResp(i)(j).bits.robIdx := toMem(i)(j).bits.robIdx
416887f9c3dSzhanglinjuan        memScheduler.io.loadFinalIssueResp(i)(j).bits.uopIdx := toMem(i)(j).bits.vpu.getOrElse(0.U.asTypeOf(new VPUCtrlSignals)).vuopIdx
4170f55a0d3SHaojin Tang      }
4180f55a0d3SHaojin Tang
4195d2b9cadSXuan Hu      NewPipelineConnect(
4205d2b9cadSXuan Hu        bypassNetwork.io.toExus.mem(i)(j), toMem(i)(j), toMem(i)(j).fire,
4215d2b9cadSXuan Hu        Mux(
4225d2b9cadSXuan Hu          bypassNetwork.io.toExus.mem(i)(j).fire,
4230f55a0d3SHaojin Tang          bypassNetwork.io.toExus.mem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || shouldLdCancel,
4240f55a0d3SHaojin Tang          toMem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) || issueTimeout
4255d2b9cadSXuan Hu        )
4265d2b9cadSXuan Hu      )
427e8800897SXuan Hu
428c838dea1SXuan Hu      if (memScheduler.io.memAddrIssueResp(i).nonEmpty && memExuBlocksHasLDU(i)(j)) {
4295b35049aSHaojin Tang        memScheduler.io.memAddrIssueResp(i)(j).valid := toMem(i)(j).fire && FuType.isLoad(toMem(i)(j).bits.fuType)
430e8800897SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.dataInvalidSqIdx := DontCare
431e8800897SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.fuType := toMem(i)(j).bits.fuType
432e8800897SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.respType := RSFeedbackType.fuIdle
433e8800897SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.rfWen := toMem(i)(j).bits.rfWen.getOrElse(false.B)
434e8800897SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.robIdx := toMem(i)(j).bits.robIdx
43597b279b9SXuan Hu        memScheduler.io.memAddrIssueResp(i)(j).bits.uopIdx := 0.U
436e8800897SXuan Hu      }
4375d2b9cadSXuan Hu    }
4385d2b9cadSXuan Hu  }
4395d2b9cadSXuan Hu
440730cfbc0SXuan Hu  io.mem.redirect := ctrlBlock.io.redirect
441546a0d46SXuan Hu  private val memIssueUops =
442546a0d46SXuan Hu    Seq(io.mem.issueLda(0)) ++ Seq(io.mem.issueSta(0)) ++
443546a0d46SXuan Hu      io.mem.issueHylda ++ io.mem.issueHysta ++
444546a0d46SXuan Hu      Seq(io.mem.issueLda(1)) ++
445546a0d46SXuan Hu      io.mem.issueVldu ++
446546a0d46SXuan Hu      io.mem.issueStd
447c838dea1SXuan Hu  io.mem.issueUops.zip(toMem.flatten).foreach { case (sink, source) =>
448730cfbc0SXuan Hu    sink.valid := source.valid
449730cfbc0SXuan Hu    source.ready := sink.ready
450730cfbc0SXuan Hu    sink.bits.iqIdx         := source.bits.iqIdx
451730cfbc0SXuan Hu    sink.bits.isFirstIssue  := source.bits.isFirstIssue
452730cfbc0SXuan Hu    sink.bits.uop           := 0.U.asTypeOf(sink.bits.uop)
453730cfbc0SXuan Hu    sink.bits.src           := 0.U.asTypeOf(sink.bits.src)
454730cfbc0SXuan Hu    sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r}
45504c99ecaSXuan Hu    sink.bits.deqPortIdx    := source.bits.deqLdExuIdx.getOrElse(0.U)
456730cfbc0SXuan Hu    sink.bits.uop.fuType    := source.bits.fuType
457730cfbc0SXuan Hu    sink.bits.uop.fuOpType  := source.bits.fuOpType
458730cfbc0SXuan Hu    sink.bits.uop.imm       := source.bits.imm
459730cfbc0SXuan Hu    sink.bits.uop.robIdx    := source.bits.robIdx
460730cfbc0SXuan Hu    sink.bits.uop.pdest     := source.bits.pdest
461730cfbc0SXuan Hu    sink.bits.uop.rfWen     := source.bits.rfWen.getOrElse(false.B)
462730cfbc0SXuan Hu    sink.bits.uop.fpWen     := source.bits.fpWen.getOrElse(false.B)
463730cfbc0SXuan Hu    sink.bits.uop.vecWen    := source.bits.vecWen.getOrElse(false.B)
464730cfbc0SXuan Hu    sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B)
465730cfbc0SXuan Hu    sink.bits.uop.pc        := source.bits.pc.getOrElse(0.U)
466730cfbc0SXuan Hu    sink.bits.uop.lqIdx     := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr))
467730cfbc0SXuan Hu    sink.bits.uop.sqIdx     := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr))
468730cfbc0SXuan Hu    sink.bits.uop.ftqPtr    := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr))
469730cfbc0SXuan Hu    sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U)
47096e858baSXuan Hu    sink.bits.uop.debugInfo := source.bits.perfDebugInfo
471f19cc441Szhanglinjuan    sink.bits.uop.vpu       := source.bits.vpu.getOrElse(0.U.asTypeOf(new VPUCtrlSignals))
472730cfbc0SXuan Hu  }
473730cfbc0SXuan Hu  io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch)
474730cfbc0SXuan Hu  io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm)
475730cfbc0SXuan Hu  io.mem.tlbCsr := csrio.tlb
476730cfbc0SXuan Hu  io.mem.csrCtrl := csrio.customCtrl
477730cfbc0SXuan Hu  io.mem.sfence := fenceio.sfence
478730cfbc0SXuan Hu  io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType)
479730cfbc0SXuan Hu  require(io.mem.loadPcRead.size == params.LduCnt)
480730cfbc0SXuan Hu  io.mem.loadPcRead.zipWithIndex.foreach { case (loadPcRead, i) =>
4818044e48cSHaojin Tang    loadPcRead := ctrlBlock.io.memLdPcRead(i).data
482b133b458SXuan Hu    ctrlBlock.io.memLdPcRead(i).ptr := io.mem.issueLda(i).bits.uop.ftqPtr
483b133b458SXuan Hu    ctrlBlock.io.memLdPcRead(i).offset := io.mem.issueLda(i).bits.uop.ftqOffset
4848044e48cSHaojin Tang    require(toMem.head(i).bits.ftqIdx.isDefined && toMem.head(i).bits.ftqOffset.isDefined)
485730cfbc0SXuan Hu  }
48617b21f45SHaojin Tang
4876ce10964SXuan Hu  io.mem.storePcRead.zipWithIndex.foreach { case (storePcRead, i) =>
4886ce10964SXuan Hu    storePcRead := ctrlBlock.io.memStPcRead(i).data
489b133b458SXuan Hu    ctrlBlock.io.memStPcRead(i).ptr := io.mem.issueSta(i).bits.uop.ftqPtr
490b133b458SXuan Hu    ctrlBlock.io.memStPcRead(i).offset := io.mem.issueSta(i).bits.uop.ftqOffset
4916ce10964SXuan Hu    require(toMem(1)(i).bits.ftqIdx.isDefined && toMem(1)(i).bits.ftqOffset.isDefined)
4926ce10964SXuan Hu  }
4936ce10964SXuan Hu
494b133b458SXuan Hu  io.mem.hyuPcRead.zipWithIndex.foreach( { case (hyuPcRead, i) =>
495b133b458SXuan Hu    hyuPcRead := ctrlBlock.io.memHyPcRead(i).data
496670870b3SXuan Hu    ctrlBlock.io.memHyPcRead(i).ptr := io.mem.issueHylda(i).bits.uop.ftqPtr
497670870b3SXuan Hu    ctrlBlock.io.memHyPcRead(i).offset := io.mem.issueHylda(i).bits.uop.ftqOffset
498b133b458SXuan Hu    require(toMem(2)(i).bits.ftqIdx.isDefined && toMem(2)(i).bits.ftqOffset.isDefined)
499b133b458SXuan Hu  })
500b133b458SXuan Hu
50117b21f45SHaojin Tang  ctrlBlock.io.robio.robHeadLsIssue := io.mem.issueUops.map(deq => deq.fire && deq.bits.uop.robIdx === ctrlBlock.io.robio.robDeqPtr).reduce(_ || _)
50217b21f45SHaojin Tang
503730cfbc0SXuan Hu  // mem io
504730cfbc0SXuan Hu  io.mem.lsqEnqIO <> memScheduler.io.memIO.get.lsqEnqIO
505730cfbc0SXuan Hu  io.mem.robLsqIO <> ctrlBlock.io.robio.lsq
506730cfbc0SXuan Hu
50752c49ce8SXuan Hu  private val intFinalIssueBlock = intExuBlock.io.in.flatten.map(_ => false.B)
50852c49ce8SXuan Hu  private val vfFinalIssueBlock = vfExuBlock.io.in.flatten.map(_ => false.B)
50952c49ce8SXuan Hu  private val memFinalIssueBlock = io.mem.issueUops zip memExuBlocksHasLDU.flatten map {
51052c49ce8SXuan Hu    case (out, isLdu) =>
51152c49ce8SXuan Hu      if (isLdu) RegNext(out.valid && !out.ready, false.B)
51252c49ce8SXuan Hu      else false.B
5130f55a0d3SHaojin Tang  }
514b133b458SXuan Hu  println(s"[backend]: width of [int|vf|mem]FinalIssueBlock: ${intFinalIssueBlock.size}|${vfFinalIssueBlock.size}|${memFinalIssueBlock.size}")
51597b279b9SXuan Hu  og0CancelOHFromFinalIssue := VecInit((intFinalIssueBlock ++ vfFinalIssueBlock ++ memFinalIssueBlock).toSeq).asUInt
5160f55a0d3SHaojin Tang
517730cfbc0SXuan Hu  io.frontendSfence := fenceio.sfence
518730cfbc0SXuan Hu  io.frontendTlbCsr := csrio.tlb
519730cfbc0SXuan Hu  io.frontendCsrCtrl := csrio.customCtrl
520730cfbc0SXuan Hu
521730cfbc0SXuan Hu  io.tlb <> csrio.tlb
522730cfbc0SXuan Hu
523730cfbc0SXuan Hu  io.csrCustomCtrl := csrio.customCtrl
524730cfbc0SXuan Hu
52536a293c0SHaojin Tang  io.toTop.cpuHalted := false.B // TODO: implement cpu halt
52636a293c0SHaojin Tang
5276ce10964SXuan Hu  io.debugTopDown.fromRob := ctrlBlock.io.debugTopDown.fromRob
5286ce10964SXuan Hu  ctrlBlock.io.debugTopDown.fromCore := io.debugTopDown.fromCore
5296ce10964SXuan Hu
5306ce10964SXuan Hu  io.debugRolling := ctrlBlock.io.debugRolling
5316ce10964SXuan Hu
532730cfbc0SXuan Hu  dontTouch(memScheduler.io)
533730cfbc0SXuan Hu  dontTouch(dataPath.io.toMemExu)
534730cfbc0SXuan Hu  dontTouch(wbDataPath.io.fromMemExu)
535730cfbc0SXuan Hu}
536730cfbc0SXuan Hu
537730cfbc0SXuan Huclass BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle {
53811ed75efSXuan Hu  // Since fast load replay always use load unit 0, Backend flips two load port to avoid conflicts
53911ed75efSXuan Hu  val flippedLda = true
54068d13085SXuan Hu  // params alias
54168d13085SXuan Hu  private val LoadQueueSize = VirtualLoadQueueSize
542730cfbc0SXuan Hu  // In/Out // Todo: split it into one-direction bundle
543730cfbc0SXuan Hu  val lsqEnqIO = Flipped(new LsqEnqIO)
544730cfbc0SXuan Hu  val robLsqIO = new RobLsqIO
5457b753bebSXuan Hu  val ldaIqFeedback = Vec(params.LduCnt, Flipped(new MemRSFeedbackIO))
5467b753bebSXuan Hu  val staIqFeedback = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO))
5478f1fa9b1Ssfencevma  val hyuIqFeedback = Vec(params.HyuCnt, Flipped(new MemRSFeedbackIO))
5486810d1e8Ssfencevma  val ldCancel = Vec(params.LduCnt + params.HyuCnt, Flipped(new LoadCancelIO))
5498044e48cSHaojin Tang  val loadPcRead = Vec(params.LduCnt, Output(UInt(VAddrBits.W)))
5506ce10964SXuan Hu  val storePcRead = Vec(params.StaCnt, Output(UInt(VAddrBits.W)))
551b133b458SXuan Hu  val hyuPcRead = Vec(params.HyuCnt, Output(UInt(VAddrBits.W)))
552730cfbc0SXuan Hu  // Input
553f9f1abd7SXuan Hu  val writebackLda = Vec(params.LduCnt, Flipped(DecoupledIO(new MemExuOutput)))
554f9f1abd7SXuan Hu  val writebackSta = Vec(params.StaCnt, Flipped(DecoupledIO(new MemExuOutput)))
555f9f1abd7SXuan Hu  val writebackStd = Vec(params.StdCnt, Flipped(DecoupledIO(new MemExuOutput)))
5563ad3585eSXuan Hu  val writebackHyuLda = Vec(params.HyuCnt, Flipped(DecoupledIO(new MemExuOutput)))
5573ad3585eSXuan Hu  val writebackHyuSta = Vec(params.HyuCnt, Flipped(DecoupledIO(new MemExuOutput)))
55820a5248fSzhanglinjuan  val writebackVldu = Vec(params.VlduCnt, Flipped(DecoupledIO(new MemExuOutput(true))))
559730cfbc0SXuan Hu
560730cfbc0SXuan Hu  val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool()))
561730cfbc0SXuan Hu  val stIn = Input(Vec(params.StaCnt, ValidIO(new DynInst())))
562730cfbc0SXuan Hu  val memoryViolation = Flipped(ValidIO(new Redirect))
563730cfbc0SXuan Hu  val exceptionVAddr = Input(UInt(VAddrBits.W))
56460f1a5feSzhanglyGit  val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W))
56560f1a5feSzhanglyGit  val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W))
5662d270511Ssinsanction  val sqDeqPtr = Input(new SqPtr)
5672d270511Ssinsanction  val lqDeqPtr = Input(new LqPtr)
568730cfbc0SXuan Hu
56960f1a5feSzhanglyGit  val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W))
570730cfbc0SXuan Hu  val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W))
571730cfbc0SXuan Hu
57217b21f45SHaojin Tang  val lqCanAccept = Input(Bool())
57317b21f45SHaojin Tang  val sqCanAccept = Input(Bool())
57417b21f45SHaojin Tang
575a81cda24Ssfencevma  val otherFastWakeup = Flipped(Vec(params.LduCnt + params.HyuCnt, ValidIO(new DynInst)))
576730cfbc0SXuan Hu  val stIssuePtr = Input(new SqPtr())
577730cfbc0SXuan Hu
578730cfbc0SXuan Hu  val csrDistributedUpdate = Flipped(new DistributedCSRUpdateReq)
579730cfbc0SXuan Hu
580870f462dSXuan Hu  val debugLS = Flipped(Output(new DebugLSIO))
581870f462dSXuan Hu
5826810d1e8Ssfencevma  val lsTopdownInfo = Vec(params.LduCnt + params.HyuCnt, Flipped(Output(new LsTopdownInfo)))
583730cfbc0SXuan Hu  // Output
584730cfbc0SXuan Hu  val redirect = ValidIO(new Redirect)   // rob flush MemBlock
585b133b458SXuan Hu  val issueLda = MixedVec(Seq.fill(params.LduCnt)(DecoupledIO(new MemExuInput())))
586b133b458SXuan Hu  val issueSta = MixedVec(Seq.fill(params.StaCnt)(DecoupledIO(new MemExuInput())))
587f9f1abd7SXuan Hu  val issueStd = MixedVec(Seq.fill(params.StdCnt)(DecoupledIO(new MemExuInput())))
588670870b3SXuan Hu  val issueHylda = MixedVec(Seq.fill(params.HyuCnt)(DecoupledIO(new MemExuInput())))
589670870b3SXuan Hu  val issueHysta = MixedVec(Seq.fill(params.HyuCnt)(DecoupledIO(new MemExuInput())))
59020a5248fSzhanglinjuan  val issueVldu = MixedVec(Seq.fill(params.VlduCnt)(DecoupledIO(new MemExuInput(true))))
59111ed75efSXuan Hu
592730cfbc0SXuan Hu  val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W)))
593730cfbc0SXuan Hu  val loadFastImm   = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I
594730cfbc0SXuan Hu
595730cfbc0SXuan Hu  val tlbCsr = Output(new TlbCsrBundle)
596730cfbc0SXuan Hu  val csrCtrl = Output(new CustomCSRCtrlIO)
597730cfbc0SXuan Hu  val sfence = Output(new SfenceBundle)
598730cfbc0SXuan Hu  val isStoreException = Output(Bool())
59911ed75efSXuan Hu
600c838dea1SXuan Hu  // ATTENTION: The issue ports' sequence order should be the same as IQs' deq config
601c838dea1SXuan Hu  private [backend] def issueUops: Seq[DecoupledIO[MemExuInput]] = {
602546a0d46SXuan Hu    Seq(issueLda(0)) ++ Seq(issueSta(0)) ++
603546a0d46SXuan Hu      issueHylda ++ issueHysta ++
604546a0d46SXuan Hu      Seq(issueLda(1)) ++
605546a0d46SXuan Hu      issueVldu ++
606546a0d46SXuan Hu      issueStd
607c838dea1SXuan Hu  }
608f9f1abd7SXuan Hu
609c838dea1SXuan Hu  // ATTENTION: The writeback ports' sequence order should be the same as IQs' deq config
610c838dea1SXuan Hu  private [backend] def writeBack: Seq[DecoupledIO[MemExuOutput]] = {
61114525be7SXuan Hu    Seq(writebackLda(0)) ++ Seq(writebackSta(0)) ++
61214525be7SXuan Hu      writebackHyuLda ++ writebackHyuSta ++
613546a0d46SXuan Hu      Seq(writebackLda(1)) ++
61420a5248fSzhanglinjuan      writebackVldu ++
61514525be7SXuan Hu      writebackStd
61611ed75efSXuan Hu  }
617730cfbc0SXuan Hu}
618730cfbc0SXuan Hu
619730cfbc0SXuan Huclass BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle {
620730cfbc0SXuan Hu  val fromTop = new Bundle {
621730cfbc0SXuan Hu    val hartId = Input(UInt(8.W))
622730cfbc0SXuan Hu    val externalInterrupt = new ExternalInterruptIO
623730cfbc0SXuan Hu  }
624730cfbc0SXuan Hu
625730cfbc0SXuan Hu  val toTop = new Bundle {
626730cfbc0SXuan Hu    val cpuHalted = Output(Bool())
627730cfbc0SXuan Hu  }
628730cfbc0SXuan Hu
629730cfbc0SXuan Hu  val fenceio = new FenceIO
630730cfbc0SXuan Hu  // Todo: merge these bundles into BackendFrontendIO
631730cfbc0SXuan Hu  val frontend = Flipped(new FrontendToCtrlIO)
632730cfbc0SXuan Hu  val frontendSfence = Output(new SfenceBundle)
633730cfbc0SXuan Hu  val frontendCsrCtrl = Output(new CustomCSRCtrlIO)
634730cfbc0SXuan Hu  val frontendTlbCsr = Output(new TlbCsrBundle)
635730cfbc0SXuan Hu  // distributed csr write
636730cfbc0SXuan Hu  val frontendCsrDistributedUpdate = Flipped(new DistributedCSRUpdateReq)
637730cfbc0SXuan Hu
638730cfbc0SXuan Hu  val mem = new BackendMemIO
639730cfbc0SXuan Hu
640730cfbc0SXuan Hu  val perf = Input(new PerfCounterIO)
641730cfbc0SXuan Hu
642730cfbc0SXuan Hu  val tlb = Output(new TlbCsrBundle)
643730cfbc0SXuan Hu
644730cfbc0SXuan Hu  val csrCustomCtrl = Output(new CustomCSRCtrlIO)
64583ba63b3SXuan Hu
64683ba63b3SXuan Hu  val debugTopDown = new Bundle {
64783ba63b3SXuan Hu    val fromRob = new RobCoreTopDownIO
64883ba63b3SXuan Hu    val fromCore = new CoreDispatchTopDownIO
64983ba63b3SXuan Hu  }
65083ba63b3SXuan Hu  val debugRolling = new RobDebugRollingIO
651730cfbc0SXuan Hu}
652