1package xiangshan.backend 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7import utility.{PipelineConnect, ZeroExt} 8import xiangshan._ 9import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 10import xiangshan.backend.ctrlblock.CtrlBlock 11import xiangshan.backend.datapath.WbConfig._ 12import xiangshan.backend.datapath.{DataPath, WbDataPath} 13import xiangshan.backend.exu.ExuBlock 14import xiangshan.backend.fu.{FenceIO, FenceToSbuffer, PerfCounterIO} 15import xiangshan.backend.issue.Scheduler 16import xiangshan.backend.rob.RobLsqIO 17import xiangshan.frontend.{FtqPtr, FtqRead} 18import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 19 20class Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule 21 with HasXSParameter { 22 23 for (exuCfg <- params.allExuParams) { 24 val fuConfigs = exuCfg.fuConfigs 25 val wbPortConfigs = exuCfg.wbPortConfigs 26 val immType = exuCfg.immType 27 println(s"exu: ${fuConfigs.map(_.name)}, wb: ${wbPortConfigs}, imm: ${immType}") 28 require(wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty == 29 fuConfigs.map(_.writeIntRf).reduce(_ || _), 30 "int wb port has no priority" ) 31 require(wbPortConfigs.collectFirst { case x: VecWB => x }.nonEmpty == 32 fuConfigs.map(x => x.writeFpRf || x.writeVecRf).reduce(_ || _), 33 "vec wb port has no priority" ) 34 } 35 36 println(s"Function Unit: Alu(${params.AluCnt}), Brh(${params.BrhCnt}), Jmp(${params.JmpCnt}), " + 37 s"Ldu(${params.LduCnt}), Sta(${params.StaCnt}), Std(${params.StdCnt})") 38 39 val ctrlBlock = LazyModule(new CtrlBlock(params)) 40 val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x))) 41 val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x))) 42 val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x))) 43 val dataPath = LazyModule(new DataPath(params)) 44 val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x))) 45 val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x))) 46 47 lazy val module = new BackendImp(this) 48} 49 50class BackendImp(override val wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper) 51 with HasXSParameter{ 52 implicit private val params = wrapper.params 53 val io = IO(new BackendIO()(p, wrapper.params)) 54 55 private val ctrlBlock = wrapper.ctrlBlock.module 56 private val intScheduler = wrapper.intScheduler.get.module 57 private val vfScheduler = wrapper.vfScheduler.get.module 58 private val memScheduler = wrapper.memScheduler.get.module 59 private val dataPath = wrapper.dataPath.module 60 private val intExuBlock = wrapper.intExuBlock.get.module 61 private val vfExuBlock = wrapper.vfExuBlock.get.module 62 private val wbDataPath = Module(new WbDataPath(params)) 63 64 ctrlBlock.io.fromTop.hartId := io.fromTop.hartId 65 ctrlBlock.io.frontend <> io.frontend 66 ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback 67 ctrlBlock.io.fromMem.stIn <> io.mem.stIn 68 ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation 69 ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl 70 ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt 71 ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget 72 ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet 73 ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event 74 ctrlBlock.io.robio.lsq <> io.mem.robLsqIO 75 76 intScheduler.io.fromTop.hartId := io.fromTop.hartId 77 intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 78 intScheduler.io.fromCtrlBlock.pcVec := ctrlBlock.io.toIssueBlock.pcVec 79 intScheduler.io.fromCtrlBlock.targetVec := ctrlBlock.io.toIssueBlock.targetVec 80 intScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 81 intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops 82 intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 83 intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack) 84 85 memScheduler.io.fromTop.hartId := io.fromTop.hartId 86 memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 87 memScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 88 memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops 89 memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 90 memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 91 memScheduler.io.fromMem.get.scommit := io.mem.sqDeq 92 memScheduler.io.fromMem.get.lcommit := ctrlBlock.io.robio.lsq.lcommit 93 memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt 94 memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt 95 memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr 96 memScheduler.io.fromMem.get.memWaitUpdateReq.staIssue.zip(io.mem.stIn).foreach { case (sink, source) => 97 sink.valid := source.valid 98 sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 99 sink.bits.uop.robIdx := source.bits.robIdx 100 } 101 102 vfScheduler.io.fromTop.hartId := io.fromTop.hartId 103 vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 104 vfScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 105 vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops 106 vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack) 107 vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 108 109 dataPath.io.flush := ctrlBlock.io.toDataPath.flush 110 dataPath.io.vconfigReadPort.addr := ctrlBlock.io.toDataPath.vtypeAddr 111 val vconfig = dataPath.io.vconfigReadPort.data 112 ctrlBlock.io.fromDataPath.vtype := vconfig(7, 0).asTypeOf(new VType) 113 for (i <- 0 until dataPath.io.fromIntIQ.length) { 114 for (j <- 0 until dataPath.io.fromIntIQ(i).length) { 115 PipelineConnect(intScheduler.io.toDataPath(i)(j), dataPath.io.fromIntIQ(i)(j), dataPath.io.fromIntIQ(i)(j).valid, 116 intScheduler.io.toDataPath(i)(j).bits.common.robIdx.needFlush(ctrlBlock.io.toDataPath.flush)) 117 intScheduler.io.fromDataPath(i)(j) := dataPath.io.toIntIQ(i)(j) 118 } 119 } 120 121 dataPath.io.fromVfIQ <> vfScheduler.io.toDataPath 122 vfScheduler.io.fromDataPath := dataPath.io.toVfIQ 123 dataPath.io.fromMemIQ <> memScheduler.io.toDataPath 124 memScheduler.io.fromDataPath := dataPath.io.toMemIQ 125 126 println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}") 127 println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}") 128 dataPath.io.fromIntWb := wbDataPath.io.toIntPreg 129 dataPath.io.fromVfWb := wbDataPath.io.toVfPreg 130 dataPath.io.debugIntRat := ctrlBlock.io.debug_int_rat 131 dataPath.io.debugFpRat := ctrlBlock.io.debug_fp_rat 132 dataPath.io.debugVecRat := ctrlBlock.io.debug_vec_rat 133 134 intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 135 for (i <- 0 until intExuBlock.io.in.length) { 136 for (j <- 0 until intExuBlock.io.in(i).length) { 137 PipelineConnect(dataPath.io.toIntExu(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire, 138 Mux(dataPath.io.toIntExu(i)(j).fire, 139 dataPath.io.toIntExu(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 140 intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush))) 141 } 142 } 143 144 private val csrio = intExuBlock.io.csrio.get 145 csrio.hartId := io.fromTop.hartId 146 csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr 147 csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo 148 csrio.perf.perfEventsCtrl <> ctrlBlock.getPerf 149 csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags 150 csrio.fpu.isIllegal := false.B // Todo: remove it 151 csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs 152 csrio.vpu <> 0.U.asTypeOf(csrio.vpu) // Todo 153 csrio.vpu.set_vstart.valid := ctrlBlock.io.toExuBlock.vsetCommit 154 csrio.vpu.set_vstart.bits := 0.U 155 csrio.vpu.set_vtype.valid := ctrlBlock.io.toExuBlock.vsetCommit 156 csrio.vpu.set_vtype.bits := ZeroExt(vconfig(7, 0), XLEN) 157 csrio.vpu.set_vl.valid := ctrlBlock.io.toExuBlock.vsetCommit 158 csrio.vpu.set_vl.bits := ZeroExt(vconfig(15, 8), XLEN) 159 csrio.exception := ctrlBlock.io.robio.exception 160 csrio.memExceptionVAddr := io.mem.exceptionVAddr 161 csrio.externalInterrupt := io.fromTop.externalInterrupt 162 csrio.distributedUpdate(0) := io.mem.csrDistributedUpdate 163 csrio.distributedUpdate(1) := io.frontendCsrDistributedUpdate 164 csrio.perf <> io.perf 165 private val fenceio = intExuBlock.io.fenceio.get 166 fenceio.disableSfence := csrio.disableSfence 167 io.fenceio <> fenceio 168 169 vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 170 for (i <- 0 until vfExuBlock.io.in.size) { 171 for (j <- 0 until vfExuBlock.io.in(i).size) { 172 PipelineConnect(dataPath.io.toFpExu(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire, 173 Mux(dataPath.io.toFpExu(i)(j).fire, 174 dataPath.io.toFpExu(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 175 vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush))) 176 } 177 } 178 vfExuBlock.io.frm.get := csrio.fpu.frm 179 180 wbDataPath.io.flush := ctrlBlock.io.redirect 181 wbDataPath.io.fromTop.hartId := io.fromTop.hartId 182 wbDataPath.io.fromIntExu <> intExuBlock.io.out 183 wbDataPath.io.fromVfExu <> vfExuBlock.io.out 184 wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 185 sink.valid := source.valid 186 source.ready := sink.ready 187 sink.bits.data := source.bits.data 188 sink.bits.pdest := source.bits.uop.pdest 189 sink.bits.robIdx := source.bits.uop.robIdx 190 sink.bits.intWen.foreach(_ := source.bits.uop.rfWen) 191 sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen) 192 sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen) 193 sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec) 194 sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe) 195 sink.bits.replay.foreach(_ := source.bits.uop.replayInst) 196 sink.bits.debug := source.bits.debug 197 sink.bits.debugInfo := 0.U.asTypeOf(sink.bits.debugInfo) 198 sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx) 199 sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx) 200 sink.bits.ftqIdx.foreach(_ := source.bits.uop.ftqPtr) 201 sink.bits.ftqOffset.foreach(_ := source.bits.uop.ftqOffset) 202 } 203 204 // to mem 205 io.mem.redirect := ctrlBlock.io.redirect 206 io.mem.issueUops.zip(dataPath.io.toMemExu.flatten).foreach { case (sink, source) => 207 sink.valid := source.valid 208 source.ready := sink.ready 209 sink.bits.iqIdx := source.bits.iqIdx 210 sink.bits.isFirstIssue := source.bits.isFirstIssue 211 sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 212 sink.bits.src := 0.U.asTypeOf(sink.bits.src) 213 sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r} 214 sink.bits.uop.fuType := source.bits.fuType 215 sink.bits.uop.fuOpType := source.bits.fuOpType 216 sink.bits.uop.imm := source.bits.imm 217 sink.bits.uop.robIdx := source.bits.robIdx 218 sink.bits.uop.pdest := source.bits.pdest 219 sink.bits.uop.rfWen := source.bits.rfWen.getOrElse(false.B) 220 sink.bits.uop.fpWen := source.bits.fpWen.getOrElse(false.B) 221 sink.bits.uop.vecWen := source.bits.vecWen.getOrElse(false.B) 222 sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B) 223 sink.bits.uop.pc := source.bits.pc.getOrElse(0.U) 224 sink.bits.uop.lqIdx := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 225 sink.bits.uop.sqIdx := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 226 sink.bits.uop.ftqPtr := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr)) 227 sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U) 228 } 229 io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch) 230 io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm) 231 io.mem.tlbCsr := csrio.tlb 232 io.mem.csrCtrl := csrio.customCtrl 233 io.mem.sfence := fenceio.sfence 234 io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType) 235 require(io.mem.loadPcRead.size == params.LduCnt) 236 io.mem.loadPcRead.zipWithIndex.foreach { case (loadPcRead, i) => 237 loadPcRead.data := ctrlBlock.io.memLdPcRead(i).data 238 ctrlBlock.io.memLdPcRead(i).ptr := loadPcRead.ptr 239 ctrlBlock.io.memLdPcRead(i).offset := loadPcRead.offset 240 } 241 // mem io 242 io.mem.lsqEnqIO <> memScheduler.io.memIO.get.lsqEnqIO 243 io.mem.robLsqIO <> ctrlBlock.io.robio.lsq 244 io.mem.toSbuffer <> fenceio.sbuffer 245 io.mem.rsFeedBack <> memScheduler.io.memIO.get.feedbackIO 246 247 io.frontendSfence := fenceio.sfence 248 io.frontendTlbCsr := csrio.tlb 249 io.frontendCsrCtrl := csrio.customCtrl 250 251 io.tlb <> csrio.tlb 252 253 io.csrCustomCtrl := csrio.customCtrl 254 255 dontTouch(memScheduler.io) 256 dontTouch(io.mem) 257 dontTouch(dataPath.io.toMemExu) 258 dontTouch(wbDataPath.io.fromMemExu) 259} 260 261class BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 262 // In/Out // Todo: split it into one-direction bundle 263 val lsqEnqIO = Flipped(new LsqEnqIO) 264 val robLsqIO = new RobLsqIO 265 val toSbuffer = new FenceToSbuffer 266 val rsFeedBack = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO)) 267 val loadPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 268 269 // Input 270 val writeBack = Vec(params.LduCnt + params.StaCnt * 2, Flipped(DecoupledIO(new MemExuOutput()))) 271 272 val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool())) 273 val stIn = Input(Vec(params.StaCnt, ValidIO(new DynInst()))) 274 val memoryViolation = Flipped(ValidIO(new Redirect)) 275 val exceptionVAddr = Input(UInt(VAddrBits.W)) 276 val sqDeq = Input(UInt(params.StaCnt.W)) 277 278 val lqCancelCnt = Input(UInt(log2Up(LoadQueueSize + 1).W)) 279 val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 280 281 val otherFastWakeup = Flipped(Vec(params.LduCnt + 2 * params.StaCnt, ValidIO(new DynInst))) 282 val stIssuePtr = Input(new SqPtr()) 283 284 val csrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 285 286 // Output 287 val redirect = ValidIO(new Redirect) // rob flush MemBlock 288 val issueUops = Vec(params.LduCnt + 2 * params.StaCnt, DecoupledIO(new MemExuInput())) 289 val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W))) 290 val loadFastImm = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I 291 292 val tlbCsr = Output(new TlbCsrBundle) 293 val csrCtrl = Output(new CustomCSRCtrlIO) 294 val sfence = Output(new SfenceBundle) 295 val isStoreException = Output(Bool()) 296} 297 298class BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 299 val fromTop = new Bundle { 300 val hartId = Input(UInt(8.W)) 301 val externalInterrupt = new ExternalInterruptIO 302 } 303 304 val toTop = new Bundle { 305 val cpuHalted = Output(Bool()) 306 } 307 308 val fenceio = new FenceIO 309 // Todo: merge these bundles into BackendFrontendIO 310 val frontend = Flipped(new FrontendToCtrlIO) 311 val frontendSfence = Output(new SfenceBundle) 312 val frontendCsrCtrl = Output(new CustomCSRCtrlIO) 313 val frontendTlbCsr = Output(new TlbCsrBundle) 314 // distributed csr write 315 val frontendCsrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 316 317 val mem = new BackendMemIO 318 319 val perf = Input(new PerfCounterIO) 320 321 val tlb = Output(new TlbCsrBundle) 322 323 val csrCustomCtrl = Output(new CustomCSRCtrlIO) 324} 325