xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/PcTargetMem.scala (revision f533cba743d2fde15652388004e2eb36095c4b69)
1package xiangshan.backend
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
7import utility._
8import xiangshan._
9import xiangshan.backend.datapath.DataConfig.VAddrData
10import xiangshan.frontend.{FtqPtr, FtqToCtrlIO, Ftq_RF_Components}
11
12class PcTargetMem(params: BackendParams)(implicit p: Parameters) extends LazyModule {
13  override def shouldBeInlined: Boolean = false
14
15  lazy val module = new PcTargetMemImp(this)(p, params)
16}
17
18class PcTargetMemImp(override val wrapper: PcTargetMem)(implicit p: Parameters, params: BackendParams) extends LazyModuleImp(wrapper) with HasXSParameter {
19
20  require(params.numTargetReadPort == params.numPcMemReadPort, "The EXUs which need PC must be the same as the EXUs which need Target PC.")
21  private val numTargetMemRead = params.numTargetReadPort + params.numPcMemReadPort
22
23  val io = IO(new PcTargetMemIO())
24  private val readValid = io.toDataPath.fromDataPathValid
25
26  private def hasRen: Boolean = true
27  private val targetMem = Module(new SyncDataModuleTemplate(new Ftq_RF_Components, FtqSize, numTargetMemRead, 1, hasRen = hasRen))
28  private val targetPCVec : Vec[UInt] = Wire(Vec(params.numTargetReadPort, UInt(VAddrData().dataWidth.W)))
29  private val pcVec       : Vec[UInt] = Wire(Vec(params.numPcMemReadPort, UInt(VAddrData().dataWidth.W)))
30
31  targetMem.io.wen.head := GatedValidRegNext(io.fromFrontendFtq.pc_mem_wen)
32  targetMem.io.waddr.head := RegEnable(io.fromFrontendFtq.pc_mem_waddr, io.fromFrontendFtq.pc_mem_wen)
33  targetMem.io.wdata.head := RegEnable(io.fromFrontendFtq.pc_mem_wdata, io.fromFrontendFtq.pc_mem_wen)
34
35  private val newestEn: Bool = io.fromFrontendFtq.newest_entry_en
36  private val newestTarget: UInt = io.fromFrontendFtq.newest_entry_target
37
38  for (i <- 0 until params.numTargetReadPort) {
39    val targetPtr = io.toDataPath.fromDataPathFtqPtr(i)
40    // target pc stored in next entry
41    targetMem.io.ren.get(i) := readValid(i)
42    targetMem.io.raddr(i) := (targetPtr + 1.U).value
43
44    val needNewestTarget = RegEnable(targetPtr === io.fromFrontendFtq.newest_entry_ptr, false.B, readValid(i))
45    targetPCVec(i) := Mux(
46      needNewestTarget,
47      RegEnable(newestTarget, newestEn),
48      targetMem.io.rdata(i).startAddr
49    )
50  }
51
52  for (i <- 0 until params.numPcMemReadPort) {
53    val pcAddr = io.toDataPath.fromDataPathFtqPtr(i)
54    val offset = io.toDataPath.fromDataPathFtqOffset(i)
55    // pc stored in this entry
56    targetMem.io.ren.get(i + params.numTargetReadPort) := readValid(i)
57    targetMem.io.raddr(i + params.numTargetReadPort) := pcAddr.value
58    pcVec(i) := targetMem.io.rdata(i + params.numTargetReadPort).getPc(RegEnable(offset, readValid(i)))
59  }
60
61  io.toDataPath.toDataPathTargetPC := targetPCVec
62  io.toDataPath.toDataPathPC := pcVec
63}
64
65class PcToDataPathIO(params: BackendParams)(implicit p: Parameters) extends XSBundle {
66  //Ftq
67  val fromDataPathValid = Input(Vec(params.numPcMemReadPort, Bool()))
68  val fromDataPathFtqPtr = Input(Vec(params.numPcMemReadPort, new FtqPtr))
69  val fromDataPathFtqOffset = Input(Vec(params.numPcMemReadPort, UInt(log2Up(PredictWidth).W)))
70  //Target PC
71  val toDataPathTargetPC = Output(Vec(params.numTargetReadPort, UInt(VAddrData().dataWidth.W)))
72  //PC
73  val toDataPathPC = Output(Vec(params.numPcMemReadPort, UInt(VAddrData().dataWidth.W)))
74}
75
76class PcTargetMemIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
77  //from frontend
78  val fromFrontendFtq = Flipped(new FtqToCtrlIO)
79  //to backend
80  val toDataPath = new PcToDataPathIO(params)
81}