1e877d8bfSXuan Hupackage xiangshan.backend.fu.wrapper 2e877d8bfSXuan Hu 3e877d8bfSXuan Huimport chisel3._ 4007f6122SXuan Huimport chisel3.util._ 5e877d8bfSXuan Huimport org.chipsalliance.cde.config.Parameters 6e877d8bfSXuan Huimport utility._ 7e877d8bfSXuan Huimport xiangshan._ 8036cdc74SsinceforYyimport xiangshan.backend.fu.NewCSR._ 9e877d8bfSXuan Huimport xiangshan.backend.fu.util._ 10e877d8bfSXuan Huimport xiangshan.backend.fu.{FuConfig, FuncUnit} 11007f6122SXuan Huimport device._ 12007f6122SXuan Huimport system.HasSoCParameter 1348a212aeSXuan Huimport xiangshan.ExceptionNO._ 1492c61038SXuan Huimport xiangshan.backend.Bundles.TrapInstInfo 1592c61038SXuan Huimport xiangshan.backend.decode.Imm_Z 168419d406SXuan Huimport xiangshan.backend.fu.NewCSR.CSRBundles.PrivState 178419d406SXuan Huimport xiangshan.backend.fu.NewCSR.CSRDefines.PrivMode 18c559bb17SZhaoyang Youimport xiangshan.backend.rob.RobPtr 19fa16cf81Slewislzhimport xiangshan.frontend.FtqPtr 20075d4937Sjunxiong-jiimport CSRConst._ 21e877d8bfSXuan Hu 22e877d8bfSXuan Huclass CSR(cfg: FuConfig)(implicit p: Parameters) extends FuncUnit(cfg) 23*8cfc24b2STang Haojin with HasCircularQueuePtrHelper with HasCriticalErrors with HasSoCParameter 24e877d8bfSXuan Hu{ 25e877d8bfSXuan Hu val csrIn = io.csrio.get 26e877d8bfSXuan Hu val csrOut = io.csrio.get 2715ed99a7SXuan Hu val csrToDecode = io.csrToDecode.get 28e877d8bfSXuan Hu 29e877d8bfSXuan Hu val setFsDirty = csrIn.fpu.dirty_fs 30e877d8bfSXuan Hu val setFflags = csrIn.fpu.fflags 31d23963a8SXuan Hu 32e877d8bfSXuan Hu val setVsDirty = csrIn.vpu.dirty_vs 3301cdded8SXuan Hu val setVstart = csrIn.vpu.set_vstart 34d23963a8SXuan Hu val setVtype = csrIn.vpu.set_vtype 35d23963a8SXuan Hu val setVxsat = csrIn.vpu.set_vxsat 36d23963a8SXuan Hu val vlFromPreg = csrIn.vpu.vl 37e877d8bfSXuan Hu 3894c2cc17SsinceforYy val flushPipe = Wire(Bool()) 39007f6122SXuan Hu val flush = io.flush.valid 40e877d8bfSXuan Hu 419d9b0bfaSjunxiong-ji /** Alias of input signals */ 4292c61038SXuan Hu val (valid, src1, imm, func) = ( 43e877d8bfSXuan Hu io.in.valid, 44e877d8bfSXuan Hu io.in.bits.data.src(0), 4592c61038SXuan Hu io.in.bits.data.imm(Imm_Z().len - 1, 0), 46e877d8bfSXuan Hu io.in.bits.ctrl.fuOpType 47e877d8bfSXuan Hu ) 48e877d8bfSXuan Hu 49fa16cf81Slewislzh // split imm/src1/rd from IMM_Z: src1/rd for tval 5092c61038SXuan Hu val addr = Imm_Z().getCSRAddr(imm) 5192c61038SXuan Hu val rd = Imm_Z().getRD(imm) 5292c61038SXuan Hu val rs1 = Imm_Z().getRS1(imm) 5392c61038SXuan Hu val imm5 = Imm_Z().getImm5(imm) 5492c61038SXuan Hu val csri = ZeroExt(imm5, XLEN) 55e877d8bfSXuan Hu 56e877d8bfSXuan Hu private val isEcall = CSROpType.isSystemOp(func) && addr === privEcall 57e877d8bfSXuan Hu private val isEbreak = CSROpType.isSystemOp(func) && addr === privEbreak 58c2a2229dSlewislzh private val isMNret = CSROpType.isSystemOp(func) && addr === privMNret 59e877d8bfSXuan Hu private val isMret = CSROpType.isSystemOp(func) && addr === privMret 60e877d8bfSXuan Hu private val isSret = CSROpType.isSystemOp(func) && addr === privSret 61e877d8bfSXuan Hu private val isDret = CSROpType.isSystemOp(func) && addr === privDret 62e877d8bfSXuan Hu private val isWfi = CSROpType.isWfi(func) 6301cdded8SXuan Hu private val isCSRAcc = CSROpType.isCsrAccess(func) 64e877d8bfSXuan Hu 65e877d8bfSXuan Hu val csrMod = Module(new NewCSR) 6692c61038SXuan Hu val trapInstMod = Module(new TrapInstMod) 67c1b28b66STang Haojin val trapTvalMod = Module(new TrapTvalMod) 68e877d8bfSXuan Hu 69f7c21cb5SXuan Hu private val privState = csrMod.io.status.privState 70e877d8bfSXuan Hu // The real reg value in CSR, with no read mask 71f7c21cb5SXuan Hu private val regOut = csrMod.io.out.bits.regOut 72f7c21cb5SXuan Hu private val src = Mux(CSROpType.needImm(func), csri, src1) 73e877d8bfSXuan Hu private val wdata = LookupTree(func, Seq( 74e877d8bfSXuan Hu CSROpType.wrt -> src1, 75e877d8bfSXuan Hu CSROpType.set -> (regOut | src1), 76e877d8bfSXuan Hu CSROpType.clr -> (regOut & (~src1).asUInt), 77e877d8bfSXuan Hu CSROpType.wrti -> csri, 78e877d8bfSXuan Hu CSROpType.seti -> (regOut | csri), 79e877d8bfSXuan Hu CSROpType.clri -> (regOut & (~csri).asUInt), 80e877d8bfSXuan Hu )) 81e877d8bfSXuan Hu 82e877d8bfSXuan Hu private val csrAccess = valid && CSROpType.isCsrAccess(func) 8392c61038SXuan Hu private val csrWen = valid && ( 8492c61038SXuan Hu CSROpType.isCSRRW(func) || 8592c61038SXuan Hu CSROpType.isCSRRSorRC(func) && rs1 =/= 0.U 8692c61038SXuan Hu ) 8792c61038SXuan Hu private val csrRen = valid && ( 8892c61038SXuan Hu CSROpType.isCSRRW(func) && rd =/= 0.U || 8992c61038SXuan Hu CSROpType.isCSRRSorRC(func) 9092c61038SXuan Hu ) 91e877d8bfSXuan Hu 927cc77234SZhaoyang You private val waddrReg = RegEnable(addr, 0.U(12.W), io.in.fire) 937cc77234SZhaoyang You private val wdataReg = RegEnable(wdata, 0.U(64.W), io.in.fire) 947cc77234SZhaoyang You 95c559bb17SZhaoyang You private val robIdxReg = RegEnable(io.in.bits.ctrl.robIdx, io.in.fire) 96c559bb17SZhaoyang You private val thisRobIdx = Wire(new RobPtr) 97c559bb17SZhaoyang You when (io.in.valid) { 98c559bb17SZhaoyang You thisRobIdx := io.in.bits.ctrl.robIdx 99c559bb17SZhaoyang You }.otherwise { 100c559bb17SZhaoyang You thisRobIdx := robIdxReg 101c559bb17SZhaoyang You } 102c559bb17SZhaoyang You private val redirectFlush = thisRobIdx.needFlush(io.flush) 1037071df62SZhaoyang You 104e877d8bfSXuan Hu csrMod.io.in match { 105e877d8bfSXuan Hu case in => 106f7c21cb5SXuan Hu in.valid := valid 107f7c21cb5SXuan Hu in.bits.wen := csrWen 10892c61038SXuan Hu in.bits.ren := csrRen 109f7c21cb5SXuan Hu in.bits.op := CSROpType.getCSROp(func) 110f7c21cb5SXuan Hu in.bits.addr := addr 111f7c21cb5SXuan Hu in.bits.src := src 1127cc77234SZhaoyang You in.bits.wdata := wdataReg 113f7c21cb5SXuan Hu in.bits.mret := isMret 114c2a2229dSlewislzh in.bits.mnret := isMNret 115f7c21cb5SXuan Hu in.bits.sret := isSret 116f7c21cb5SXuan Hu in.bits.dret := isDret 117c559bb17SZhaoyang You in.bits.redirectFlush := redirectFlush 118e877d8bfSXuan Hu } 11992c61038SXuan Hu csrMod.io.trapInst := trapInstMod.io.currentTrapInst 120c1b28b66STang Haojin csrMod.io.fetchMalTval := trapTvalMod.io.tval 121e877d8bfSXuan Hu csrMod.io.fromMem.excpVA := csrIn.memExceptionVAddr 122e877d8bfSXuan Hu csrMod.io.fromMem.excpGPA := csrIn.memExceptionGPAddr 123ad415ae0SXiaokun-Pei csrMod.io.fromMem.excpIsForVSnonLeafPTE := csrIn.memExceptionIsForVSnonLeafPTE 124e877d8bfSXuan Hu 125e877d8bfSXuan Hu csrMod.io.fromRob.trap.valid := csrIn.exception.valid 126e877d8bfSXuan Hu csrMod.io.fromRob.trap.bits.pc := csrIn.exception.bits.pc 127e877d8bfSXuan Hu csrMod.io.fromRob.trap.bits.instr := csrIn.exception.bits.instr 128bfac3305Speixiaokun csrMod.io.fromRob.trap.bits.pcGPA := csrIn.exception.bits.gpaddr 129007f6122SXuan Hu // Todo: shrink the width of trap vector. 130007f6122SXuan Hu // We use 64bits trap vector in CSR, and 24 bits exceptionVec in exception bundle. 131007f6122SXuan Hu csrMod.io.fromRob.trap.bits.trapVec := csrIn.exception.bits.exceptionVec.asUInt 13225742929SXuan Hu csrMod.io.fromRob.trap.bits.isFetchBkpt := csrIn.exception.bits.isPcBkpt 133e877d8bfSXuan Hu csrMod.io.fromRob.trap.bits.singleStep := csrIn.exception.bits.singleStep 134e877d8bfSXuan Hu csrMod.io.fromRob.trap.bits.crossPageIPFFix := csrIn.exception.bits.crossPageIPFFix 135e877d8bfSXuan Hu csrMod.io.fromRob.trap.bits.isInterrupt := csrIn.exception.bits.isInterrupt 1367e0f64b0SGuanghui Cheng csrMod.io.fromRob.trap.bits.trigger := csrIn.exception.bits.trigger 137f60da58cSXuan Hu csrMod.io.fromRob.trap.bits.isHls := csrIn.exception.bits.isHls 138c1b28b66STang Haojin csrMod.io.fromRob.trap.bits.isFetchMalAddr := csrIn.exception.bits.isFetchMalAddr 139ad415ae0SXiaokun-Pei csrMod.io.fromRob.trap.bits.isForVSnonLeafPTE := csrIn.exception.bits.isForVSnonLeafPTE 140e877d8bfSXuan Hu 141e877d8bfSXuan Hu csrMod.io.fromRob.commit.fflags := setFflags 142e877d8bfSXuan Hu csrMod.io.fromRob.commit.fsDirty := setFsDirty 143d23963a8SXuan Hu csrMod.io.fromRob.commit.vxsat.valid := setVxsat.valid 144d23963a8SXuan Hu csrMod.io.fromRob.commit.vxsat.bits := setVxsat.bits 145e877d8bfSXuan Hu csrMod.io.fromRob.commit.vsDirty := setVsDirty 14601cdded8SXuan Hu csrMod.io.fromRob.commit.vstart := setVstart 147d23963a8SXuan Hu csrMod.io.fromRob.commit.vl := vlFromPreg 1488419d406SXuan Hu // Todo: correct vtype 1498419d406SXuan Hu csrMod.io.fromRob.commit.vtype.valid := setVtype.valid 1508419d406SXuan Hu csrMod.io.fromRob.commit.vtype.bits.VILL := setVtype.bits(XLEN - 1) 15101cdded8SXuan Hu csrMod.io.fromRob.commit.vtype.bits.VMA := setVtype.bits(7) 15201cdded8SXuan Hu csrMod.io.fromRob.commit.vtype.bits.VTA := setVtype.bits(6) 15301cdded8SXuan Hu csrMod.io.fromRob.commit.vtype.bits.VSEW := setVtype.bits(5, 3) 15401cdded8SXuan Hu csrMod.io.fromRob.commit.vtype.bits.VLMUL := setVtype.bits(2, 0) 1558419d406SXuan Hu 1568419d406SXuan Hu csrMod.io.fromRob.commit.instNum.valid := true.B // Todo: valid control signal 1578419d406SXuan Hu csrMod.io.fromRob.commit.instNum.bits := csrIn.perf.retiredInstr 158e877d8bfSXuan Hu 159c1b28b66STang Haojin csrMod.io.fromRob.robDeqPtr := csrIn.robDeqPtr 160c1b28b66STang Haojin 161e43bb916SXuan Hu csrMod.io.fromVecExcpMod.busy := io.csrin.get.fromVecExcpMod.busy 162e43bb916SXuan Hu 163b51a1abdSchengguanghui csrMod.io.perf := csrIn.perf 164b51a1abdSchengguanghui 165e877d8bfSXuan Hu csrMod.platformIRP.MEIP := csrIn.externalInterrupt.meip 166e877d8bfSXuan Hu csrMod.platformIRP.MTIP := csrIn.externalInterrupt.mtip 167e877d8bfSXuan Hu csrMod.platformIRP.MSIP := csrIn.externalInterrupt.msip 168e877d8bfSXuan Hu csrMod.platformIRP.SEIP := csrIn.externalInterrupt.seip 1690b4c00ffSXuan Hu csrMod.platformIRP.STIP := false.B 170e877d8bfSXuan Hu csrMod.platformIRP.VSEIP := false.B // Todo 171e877d8bfSXuan Hu csrMod.platformIRP.VSTIP := false.B // Todo 17247bb101bSXuan Hu csrMod.platformIRP.debugIP := csrIn.externalInterrupt.debug 1738bc90631SZehao Liu csrMod.nonMaskableIRP.NMI_43 := csrIn.externalInterrupt.nmi.nmi_43 1748bc90631SZehao Liu csrMod.nonMaskableIRP.NMI_31 := csrIn.externalInterrupt.nmi.nmi_31 175e877d8bfSXuan Hu 176cb4fe84bSXuan Hu csrMod.io.fromTop.hartId := io.csrin.get.hartId 1773bf5eac7SXuan Hu csrMod.io.fromTop.clintTime := io.csrin.get.clintTime 178b7a63495SNewPaulWalker csrMod.io.fromTop.l2FlushDone := io.csrin.get.l2FlushDone 179a751b11aSchengguanghui csrMod.io.fromTop.criticalErrorState := io.csrin.get.criticalErrorState 180f7c21cb5SXuan Hu private val csrModOutValid = csrMod.io.out.valid 181f7c21cb5SXuan Hu private val csrModOut = csrMod.io.out.bits 182881eb731SXuan Hu 183a44e2ed4SXuan Hu trapInstMod.io.fromDecode.trapInstInfo := RegNextWithEnable(io.csrin.get.trapInstInfo, hasInit = true) 18492c61038SXuan Hu trapInstMod.io.fromRob.flush.valid := io.flush.valid 18592c61038SXuan Hu trapInstMod.io.fromRob.flush.bits.ftqPtr := io.flush.bits.ftqIdx 18692c61038SXuan Hu trapInstMod.io.fromRob.flush.bits.ftqOffset := io.flush.bits.ftqOffset 18792c61038SXuan Hu trapInstMod.io.faultCsrUop.valid := csrMod.io.out.valid && (csrMod.io.out.bits.EX_II || csrMod.io.out.bits.EX_VI) 18892c61038SXuan Hu trapInstMod.io.faultCsrUop.bits.fuOpType := DataHoldBypass(io.in.bits.ctrl.fuOpType, io.in.fire) 18992c61038SXuan Hu trapInstMod.io.faultCsrUop.bits.imm := DataHoldBypass(io.in.bits.data.imm, io.in.fire) 190cbff1a51SXuan Hu trapInstMod.io.faultCsrUop.bits.ftqInfo.ftqPtr := DataHoldBypass(io.in.bits.ctrl.ftqIdx.get, io.in.fire) 191cbff1a51SXuan Hu trapInstMod.io.faultCsrUop.bits.ftqInfo.ftqOffset := DataHoldBypass(io.in.bits.ctrl.ftqOffset.get, io.in.fire) 19248a212aeSXuan Hu // Clear trap instruction when instruction fault trap(EX_II, EX_VI) occurs. 19348a212aeSXuan Hu trapInstMod.io.readClear := (csrMod.io.fromRob.trap match { 19448a212aeSXuan Hu case t => 19548a212aeSXuan Hu t.valid && !t.bits.isInterrupt && (t.bits.trapVec(EX_II) || t.bits.trapVec(EX_VI)) 19648a212aeSXuan Hu }) 19792c61038SXuan Hu 198c1b28b66STang Haojin trapTvalMod.io.targetPc.valid := csrMod.io.out.bits.targetPcUpdate 199c1b28b66STang Haojin trapTvalMod.io.targetPc.bits := csrMod.io.out.bits.targetPc 200c1b28b66STang Haojin trapTvalMod.io.clear := csrIn.exception.valid && csrIn.exception.bits.isFetchMalAddr 201c1b28b66STang Haojin trapTvalMod.io.fromCtrlBlock.flush := io.flush 202c1b28b66STang Haojin trapTvalMod.io.fromCtrlBlock.robDeqPtr := io.csrio.get.robDeqPtr 203c1b28b66STang Haojin 204*8cfc24b2STang Haojin val imsic = Module(new aia.IMSIC(soc.IMSICParams)) 205*8cfc24b2STang Haojin imsic.fromCSR.addr.valid := csrMod.toAIA.addr.valid 206*8cfc24b2STang Haojin imsic.fromCSR.addr.bits.addr := csrMod.toAIA.addr.bits.addr 207*8cfc24b2STang Haojin imsic.fromCSR.addr.bits.virt := csrMod.toAIA.addr.bits.v.asUInt.asBool 208*8cfc24b2STang Haojin imsic.fromCSR.addr.bits.priv := aia.PrivType(csrMod.toAIA.addr.bits.prvm.asUInt) 209*8cfc24b2STang Haojin imsic.fromCSR.vgein := csrMod.toAIA.vgein 210*8cfc24b2STang Haojin imsic.fromCSR.wdata.valid := csrMod.toAIA.wdata.valid 211*8cfc24b2STang Haojin imsic.fromCSR.wdata.bits.op := aia.OpType(csrMod.toAIA.wdata.bits.op) 212*8cfc24b2STang Haojin imsic.fromCSR.wdata.bits.data := csrMod.toAIA.wdata.bits.data 213*8cfc24b2STang Haojin imsic.fromCSR.claims(0) := csrMod.toAIA.mClaim 214*8cfc24b2STang Haojin imsic.fromCSR.claims(1) := csrMod.toAIA.sClaim 215*8cfc24b2STang Haojin imsic.fromCSR.claims(2) := csrMod.toAIA.vsClaim 216007f6122SXuan Hu 217*8cfc24b2STang Haojin csrMod.fromAIA.rdata.valid := imsic.toCSR.rdata.valid 218*8cfc24b2STang Haojin csrMod.fromAIA.rdata.bits.data := imsic.toCSR.rdata.bits 219*8cfc24b2STang Haojin csrMod.fromAIA.rdata.bits.illegal := imsic.toCSR.illegal 220*8cfc24b2STang Haojin csrMod.fromAIA.meip := imsic.toCSR.pendings(0) 221*8cfc24b2STang Haojin csrMod.fromAIA.seip := imsic.toCSR.pendings(1) 222*8cfc24b2STang Haojin csrMod.fromAIA.vseip := imsic.toCSR.pendings(soc.IMSICParams.intFilesNum - 1, 2) 223*8cfc24b2STang Haojin csrMod.fromAIA.mtopei := imsic.toCSR.topeis(0) 224*8cfc24b2STang Haojin csrMod.fromAIA.stopei := imsic.toCSR.topeis(1) 225*8cfc24b2STang Haojin csrMod.fromAIA.vstopei := imsic.toCSR.topeis(2) 226*8cfc24b2STang Haojin 227*8cfc24b2STang Haojin imsic.msiio.vld_req := io.csrin.get.msiInfo.valid 228*8cfc24b2STang Haojin imsic.msiio.data := io.csrin.get.msiInfo.bits 229*8cfc24b2STang Haojin io.csrio.get.msiAck := imsic.msiio.vld_ack 230007f6122SXuan Hu 231007f6122SXuan Hu private val exceptionVec = WireInit(0.U.asTypeOf(ExceptionVec())) // Todo: 23248a212aeSXuan Hu 23344467224SZhaoyang You exceptionVec(EX_BP ) := DataHoldBypass(isEbreak, false.B, io.in.fire) 23444467224SZhaoyang You exceptionVec(EX_MCALL ) := DataHoldBypass(isEcall && privState.isModeM, false.B, io.in.fire) 23544467224SZhaoyang You exceptionVec(EX_HSCALL) := DataHoldBypass(isEcall && privState.isModeHS, false.B, io.in.fire) 23644467224SZhaoyang You exceptionVec(EX_VSCALL) := DataHoldBypass(isEcall && privState.isModeVS, false.B, io.in.fire) 23744467224SZhaoyang You exceptionVec(EX_UCALL ) := DataHoldBypass(isEcall && privState.isModeHUorVU, false.B, io.in.fire) 238f7c21cb5SXuan Hu exceptionVec(EX_II ) := csrMod.io.out.bits.EX_II 239f7c21cb5SXuan Hu exceptionVec(EX_VI ) := csrMod.io.out.bits.EX_VI 240007f6122SXuan Hu 241007f6122SXuan Hu val isXRet = valid && func === CSROpType.jmp && !isEcall && !isEbreak 242007f6122SXuan Hu 243f7c21cb5SXuan Hu flushPipe := csrMod.io.out.bits.flushPipe 24494c2cc17SsinceforYy 24594c2cc17SsinceforYy // tlb 24694c2cc17SsinceforYy val tlb = Wire(new TlbCsrBundle) 2479a4a4f17SXuan Hu tlb.satp.changed := csrMod.io.tlb.satpASIDChanged 2488419d406SXuan Hu tlb.satp.mode := csrMod.io.tlb.satp.MODE.asUInt 2498419d406SXuan Hu tlb.satp.asid := csrMod.io.tlb.satp.ASID.asUInt 2508419d406SXuan Hu tlb.satp.ppn := csrMod.io.tlb.satp.PPN.asUInt 251c577d933SXuan Hu tlb.vsatp.changed := csrMod.io.tlb.vsatpASIDChanged 252c577d933SXuan Hu tlb.vsatp.mode := csrMod.io.tlb.vsatp.MODE.asUInt 253c577d933SXuan Hu tlb.vsatp.asid := csrMod.io.tlb.vsatp.ASID.asUInt 254c577d933SXuan Hu tlb.vsatp.ppn := csrMod.io.tlb.vsatp.PPN.asUInt 255c577d933SXuan Hu tlb.hgatp.changed := csrMod.io.tlb.hgatpVMIDChanged 256c577d933SXuan Hu tlb.hgatp.mode := csrMod.io.tlb.hgatp.MODE.asUInt 25797929664SXiaokun-Pei tlb.hgatp.vmid := csrMod.io.tlb.hgatp.VMID.asUInt 258c577d933SXuan Hu tlb.hgatp.ppn := csrMod.io.tlb.hgatp.PPN.asUInt 2598882eb68SXin Tian tlb.mbmc.BME := csrMod.io.tlb.mbmc.BME.asUInt 2608882eb68SXin Tian tlb.mbmc.CMODE := csrMod.io.tlb.mbmc.CMODE.asUInt 2618882eb68SXin Tian tlb.mbmc.BCLEAR := csrMod.io.tlb.mbmc.BCLEAR.asUInt 2628882eb68SXin Tian tlb.mbmc.BMA := csrMod.io.tlb.mbmc.BMA.asUInt 263c577d933SXuan Hu 26494c2cc17SsinceforYy // expose several csr bits for tlb 26594c2cc17SsinceforYy tlb.priv.mxr := csrMod.io.tlb.mxr 26694c2cc17SsinceforYy tlb.priv.sum := csrMod.io.tlb.sum 267c577d933SXuan Hu tlb.priv.vmxr := csrMod.io.tlb.vmxr 268c577d933SXuan Hu tlb.priv.vsum := csrMod.io.tlb.vsum 269c577d933SXuan Hu tlb.priv.spvp := csrMod.io.tlb.spvp 270e92e298cSXuan Hu tlb.priv.virt := csrMod.io.tlb.dvirt 27194c2cc17SsinceforYy tlb.priv.imode := csrMod.io.tlb.imode 27294c2cc17SsinceforYy tlb.priv.dmode := csrMod.io.tlb.dmode 27394c2cc17SsinceforYy 274dd286b6aSYanqin Li // Svpbmt extension enable 275dd286b6aSYanqin Li tlb.mPBMTE := csrMod.io.tlb.mPBMTE 276dd286b6aSYanqin Li tlb.hPBMTE := csrMod.io.tlb.hPBMTE 277dd286b6aSYanqin Li 278189833a1SHaoyuan Feng // pointer masking extension 279189833a1SHaoyuan Feng tlb.pmm := csrMod.io.tlb.pmm 280189833a1SHaoyuan Feng 2819d9b0bfaSjunxiong-ji /** Since some CSR read instructions are allowed to be pipelined, ready/valid signals should be modified */ 2829d9b0bfaSjunxiong-ji io.in.ready := csrMod.io.in.ready // Todo: Async read imsic may block CSR 283f7c21cb5SXuan Hu io.out.valid := csrModOutValid 284e877d8bfSXuan Hu io.out.bits.ctrl.exceptionVec.get := exceptionVec 28594c2cc17SsinceforYy io.out.bits.ctrl.flushPipe.get := flushPipe 286f7c21cb5SXuan Hu io.out.bits.res.data := csrMod.io.out.bits.rData 287dcdd1406SXuan Hu 2889d9b0bfaSjunxiong-ji /** initialize NewCSR's io_out_ready from wrapper's io */ 2899d9b0bfaSjunxiong-ji csrMod.io.out.ready := io.out.ready 2909d9b0bfaSjunxiong-ji 2917071df62SZhaoyang You io.out.bits.res.redirect.get.valid := io.out.valid && RegEnable(isXRet, false.B, io.in.fire) 292dcdd1406SXuan Hu val redirect = io.out.bits.res.redirect.get.bits 293dcdd1406SXuan Hu redirect := 0.U.asTypeOf(redirect) 294dcdd1406SXuan Hu redirect.level := RedirectLevel.flushAfter 295c559bb17SZhaoyang You redirect.robIdx := robIdxReg 2967071df62SZhaoyang You redirect.ftqIdx := RegEnable(io.in.bits.ctrl.ftqIdx.get, io.in.fire) 2977071df62SZhaoyang You redirect.ftqOffset := RegEnable(io.in.bits.ctrl.ftqOffset.get, io.in.fire) 298dcdd1406SXuan Hu redirect.cfiUpdate.predTaken := true.B 299dcdd1406SXuan Hu redirect.cfiUpdate.taken := true.B 300c1b28b66STang Haojin redirect.cfiUpdate.target := csrMod.io.out.bits.targetPc.pc 301c1b28b66STang Haojin redirect.cfiUpdate.backendIPF := csrMod.io.out.bits.targetPc.raiseIPF 302c1b28b66STang Haojin redirect.cfiUpdate.backendIAF := csrMod.io.out.bits.targetPc.raiseIAF 303c1b28b66STang Haojin redirect.cfiUpdate.backendIGPF := csrMod.io.out.bits.targetPc.raiseIGPF 304dcdd1406SXuan Hu // Only mispred will send redirect to frontend 305dcdd1406SXuan Hu redirect.cfiUpdate.isMisPred := true.B 306dcdd1406SXuan Hu 3077071df62SZhaoyang You connectNonPipedCtrlSingal 308e877d8bfSXuan Hu 30985a8d7caSZehao Liu override val criticalErrors = csrMod.getCriticalErrors 31085a8d7caSZehao Liu generateCriticalErrors() 31185a8d7caSZehao Liu 31201cdded8SXuan Hu // Todo: summerize all difftest skip condition 3137071df62SZhaoyang You csrOut.isPerfCnt := io.out.valid && csrMod.io.out.bits.isPerfCnt && RegEnable(func =/= CSROpType.jmp, false.B, io.in.fire) 314f7c21cb5SXuan Hu csrOut.fpu.frm := csrMod.io.status.fpState.frm.asUInt 315f7c21cb5SXuan Hu csrOut.vpu.vstart := csrMod.io.status.vecState.vstart.asUInt 316f7c21cb5SXuan Hu csrOut.vpu.vxrm := csrMod.io.status.vecState.vxrm.asUInt 317e877d8bfSXuan Hu 3188cbf000bSchengguanghui csrOut.isXRet := isXRet 319e877d8bfSXuan Hu 320f7c21cb5SXuan Hu csrOut.trapTarget := csrMod.io.out.bits.targetPc 321f7c21cb5SXuan Hu csrOut.interrupt := csrMod.io.status.interrupt 322f7c21cb5SXuan Hu csrOut.wfi_event := csrMod.io.status.wfiEvent 323e877d8bfSXuan Hu 32494c2cc17SsinceforYy csrOut.tlb := tlb 325e877d8bfSXuan Hu 326f7c21cb5SXuan Hu csrOut.debugMode := csrMod.io.status.debugMode 327e877d8bfSXuan Hu 328c308d936Schengguanghui csrOut.traceCSR := csrMod.io.status.traceCSR 3294907ec88Schengguanghui 330e877d8bfSXuan Hu csrOut.customCtrl match { 331e877d8bfSXuan Hu case custom => 332881e32f5SZifei Zhang custom.pf_ctrl := csrMod.io.status.custom.pf_ctrl 333e877d8bfSXuan Hu // Load violation predictor 334f7c21cb5SXuan Hu custom.lvpred_disable := csrMod.io.status.custom.lvpred_disable 335f7c21cb5SXuan Hu custom.no_spec_load := csrMod.io.status.custom.no_spec_load 336f7c21cb5SXuan Hu custom.storeset_wait_store := csrMod.io.status.custom.storeset_wait_store 337f7c21cb5SXuan Hu custom.storeset_no_fast_wakeup := csrMod.io.status.custom.storeset_no_fast_wakeup 338f7c21cb5SXuan Hu custom.lvpred_timeout := csrMod.io.status.custom.lvpred_timeout 339e877d8bfSXuan Hu // Branch predictor 340f7c21cb5SXuan Hu custom.bp_ctrl := csrMod.io.status.custom.bp_ctrl 341e877d8bfSXuan Hu // Memory Block 342f7c21cb5SXuan Hu custom.sbuffer_threshold := csrMod.io.status.custom.sbuffer_threshold 343f7c21cb5SXuan Hu custom.ldld_vio_check_enable := csrMod.io.status.custom.ldld_vio_check_enable 344f7c21cb5SXuan Hu custom.soft_prefetch_enable := csrMod.io.status.custom.soft_prefetch_enable 345f7c21cb5SXuan Hu custom.cache_error_enable := csrMod.io.status.custom.cache_error_enable 346f7c21cb5SXuan Hu custom.uncache_write_outstanding_enable := csrMod.io.status.custom.uncache_write_outstanding_enable 34741d8d239Shappy-lx custom.hd_misalign_st_enable := csrMod.io.status.custom.hd_misalign_st_enable 34841d8d239Shappy-lx custom.hd_misalign_ld_enable := csrMod.io.status.custom.hd_misalign_ld_enable 349b7a63495SNewPaulWalker custom.power_down_enable := csrMod.io.status.custom.power_down_enable 350b7a63495SNewPaulWalker custom.flush_l2_enable := csrMod.io.status.custom.flush_l2_enable 351e877d8bfSXuan Hu // Rename 352f7c21cb5SXuan Hu custom.fusion_enable := csrMod.io.status.custom.fusion_enable 353f7c21cb5SXuan Hu custom.wfi_enable := csrMod.io.status.custom.wfi_enable 354e877d8bfSXuan Hu // distribute csr write signal 355e877d8bfSXuan Hu // write to frontend and memory 356676ddb73SXuan Hu custom.distribute_csr.w.valid := csrMod.io.distributedWenLegal 3577cc77234SZhaoyang You custom.distribute_csr.w.bits.addr := waddrReg 3587cc77234SZhaoyang You custom.distribute_csr.w.bits.data := wdataReg 359e877d8bfSXuan Hu // rename single step 360f7c21cb5SXuan Hu custom.singlestep := csrMod.io.status.singleStepFlag 361e877d8bfSXuan Hu // trigger 3624ac3bf33Schengguanghui custom.frontend_trigger := csrMod.io.status.frontendTrigger 3634ac3bf33Schengguanghui custom.mem_trigger := csrMod.io.status.memTrigger 36460a2d130SXuan Hu // virtual mode 365f7c21cb5SXuan Hu custom.virtMode := csrMod.io.status.privState.V.asBool 36671b6c42eSxu_zh // xstatus.fs field is off 36771b6c42eSxu_zh custom.fsIsOff := csrMod.io.toDecode.illegalInst.fsIsOff 368e877d8bfSXuan Hu } 36915ed99a7SXuan Hu 370c1b28b66STang Haojin csrOut.instrAddrTransType := csrMod.io.status.instrAddrTransType 371a751b11aSchengguanghui csrOut.criticalErrorState := csrMod.io.status.criticalErrorState 372c1b28b66STang Haojin 37315ed99a7SXuan Hu csrToDecode := csrMod.io.toDecode 374e877d8bfSXuan Hu} 375007f6122SXuan Hu 376007f6122SXuan Huclass CSRInput(implicit p: Parameters) extends XSBundle with HasSoCParameter { 377007f6122SXuan Hu val hartId = Input(UInt(8.W)) 378*8cfc24b2STang Haojin val msiInfo = Input(ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W))) 379a751b11aSchengguanghui val criticalErrorState = Input(Bool()) 3803bf5eac7SXuan Hu val clintTime = Input(ValidIO(UInt(64.W))) 381b7a63495SNewPaulWalker val l2FlushDone = Input(Bool()) 38292c61038SXuan Hu val trapInstInfo = Input(ValidIO(new TrapInstInfo)) 383e43bb916SXuan Hu val fromVecExcpMod = Input(new Bundle { 384e43bb916SXuan Hu val busy = Bool() 385e43bb916SXuan Hu }) 386007f6122SXuan Hu} 38715ed99a7SXuan Hu 38815ed99a7SXuan Huclass CSRToDecode(implicit p: Parameters) extends XSBundle { 38915ed99a7SXuan Hu val illegalInst = new Bundle { 39015ed99a7SXuan Hu /** 39115ed99a7SXuan Hu * illegal sfence.vma, sinval.vma 39215ed99a7SXuan Hu * raise EX_II when isModeHS && mstatus.TVM=1 || isModeHU 39315ed99a7SXuan Hu */ 39415ed99a7SXuan Hu val sfenceVMA = Bool() 39515ed99a7SXuan Hu 39615ed99a7SXuan Hu /** 39715ed99a7SXuan Hu * illegal sfence.w.inval sfence.inval.ir 39815ed99a7SXuan Hu * raise EX_II when isModeHU 39915ed99a7SXuan Hu */ 40015ed99a7SXuan Hu val sfencePart = Bool() 40115ed99a7SXuan Hu 40215ed99a7SXuan Hu /** 40315ed99a7SXuan Hu * illegal hfence.gvma, hinval.gvma 40415ed99a7SXuan Hu * raise EX_II when isModeHS && mstatus.TVM=1 || isModeHU 40515ed99a7SXuan Hu * the condition is the same as sfenceVMA 40615ed99a7SXuan Hu */ 40715ed99a7SXuan Hu val hfenceGVMA = Bool() 40815ed99a7SXuan Hu 40915ed99a7SXuan Hu /** 41015ed99a7SXuan Hu * illegal hfence.vvma, hinval.vvma 41115ed99a7SXuan Hu * raise EX_II when isModeHU 41215ed99a7SXuan Hu */ 41315ed99a7SXuan Hu val hfenceVVMA = Bool() 41415ed99a7SXuan Hu 41515ed99a7SXuan Hu /** 41615ed99a7SXuan Hu * illegal hlv, hlvx, and hsv 41715ed99a7SXuan Hu * raise EX_II when isModeHU && hstatus.HU=0 41815ed99a7SXuan Hu */ 41915ed99a7SXuan Hu val hlsv = Bool() 4208b7dc6f5SsinceforYy 4218b7dc6f5SsinceforYy /** 422d60bfe5aSsinceforYy * decode all fp inst or all vecfp inst 4238b7dc6f5SsinceforYy * raise EX_II when FS=Off 4248b7dc6f5SsinceforYy */ 4258b7dc6f5SsinceforYy val fsIsOff = Bool() 4268b7dc6f5SsinceforYy 4278b7dc6f5SsinceforYy /** 4288b7dc6f5SsinceforYy * decode all vec inst 4298b7dc6f5SsinceforYy * raise EX_II when VS=Off 4308b7dc6f5SsinceforYy */ 4318b7dc6f5SsinceforYy val vsIsOff = Bool() 432b50a88ecSXuan Hu 433b50a88ecSXuan Hu /** 434b50a88ecSXuan Hu * illegal wfi 435b50a88ecSXuan Hu * raise EX_II when isModeHU || !isModeM && mstatus.TW=1 436b50a88ecSXuan Hu */ 437b50a88ecSXuan Hu val wfi = Bool() 438689f6b88SsinceforYy 439689f6b88SsinceforYy /** 4406520f4f4STang Haojin * illegal wrs_nto 4416520f4f4STang Haojin * raise EX_II when !isModeM && mstatus.TW=1 4426520f4f4STang Haojin */ 4436520f4f4STang Haojin val wrs_nto = Bool() 4446520f4f4STang Haojin 4456520f4f4STang Haojin /** 446689f6b88SsinceforYy * frm reserved 447689f6b88SsinceforYy * raise EX_II when frm.data > 4 448689f6b88SsinceforYy */ 449689f6b88SsinceforYy val frm = Bool() 450e9f7c490SXuan Hu 451e9f7c490SXuan Hu /** 452e9f7c490SXuan Hu * illegal CBO.ZERO 453e9f7c490SXuan Hu * raise [[EX_II]] when !isModeM && !MEnvCfg.CBZE || isModeHU && !SEnvCfg.CBZE 454e9f7c490SXuan Hu */ 455e9f7c490SXuan Hu val cboZ = Bool() 456e9f7c490SXuan Hu 457e9f7c490SXuan Hu /** 458e9f7c490SXuan Hu * illegal CBO.CLEAN/FLUSH 459e9f7c490SXuan Hu * raise [[EX_II]] when !isModeM && !MEnvCfg.CBCFE || isModeHU && !SEnvCfg.CBCFE 460e9f7c490SXuan Hu */ 461e9f7c490SXuan Hu val cboCF = Bool() 462e9f7c490SXuan Hu 463e9f7c490SXuan Hu /** 464e9f7c490SXuan Hu * illegal CBO.INVAL 465e9f7c490SXuan Hu * raise [[EX_II]] when !isModeM && MEnvCfg.CBIE = EnvCBIE.Off || isModeHU && SEnvCfg.CBIE = EnvCBIE.Off 466e9f7c490SXuan Hu */ 467e9f7c490SXuan Hu val cboI = Bool() 46815ed99a7SXuan Hu } 469e9f7c490SXuan Hu 47015ed99a7SXuan Hu val virtualInst = new Bundle { 47115ed99a7SXuan Hu /** 47215ed99a7SXuan Hu * illegal sfence.vma, svinval.vma 47315ed99a7SXuan Hu * raise EX_VI when isModeVS && hstatus.VTVM=1 || isModeVU 47415ed99a7SXuan Hu */ 47515ed99a7SXuan Hu val sfenceVMA = Bool() 47615ed99a7SXuan Hu 47715ed99a7SXuan Hu /** 47815ed99a7SXuan Hu * illegal sfence.w.inval sfence.inval.ir 47915ed99a7SXuan Hu * raise EX_VI when isModeVU 48015ed99a7SXuan Hu */ 48115ed99a7SXuan Hu val sfencePart = Bool() 48215ed99a7SXuan Hu 48315ed99a7SXuan Hu /** 48415ed99a7SXuan Hu * illegal hfence.gvma, hinval.gvma, hfence.vvma, hinval.vvma 48515ed99a7SXuan Hu * raise EX_VI when isModeVS || isModeVU 48615ed99a7SXuan Hu */ 48715ed99a7SXuan Hu val hfence = Bool() 48815ed99a7SXuan Hu 48915ed99a7SXuan Hu /** 49015ed99a7SXuan Hu * illegal hlv, hlvx, and hsv 49115ed99a7SXuan Hu * raise EX_VI when isModeVS || isModeVU 49215ed99a7SXuan Hu */ 49315ed99a7SXuan Hu val hlsv = Bool() 494b50a88ecSXuan Hu 495b50a88ecSXuan Hu /** 496b50a88ecSXuan Hu * illegal wfi 497b50a88ecSXuan Hu * raise EX_VI when isModeVU && mstatus.TW=0 || isModeVS && mstatus.TW=0 && hstatus.VTW=1 498b50a88ecSXuan Hu */ 499b50a88ecSXuan Hu val wfi = Bool() 500e9f7c490SXuan Hu 501e9f7c490SXuan Hu /** 5026520f4f4STang Haojin * illegal wrs_nto 5036520f4f4STang Haojin * raise EX_VI when privState.V && mstatus.TW=0 && hstatus.VTW=1 5046520f4f4STang Haojin */ 5056520f4f4STang Haojin val wrs_nto = Bool() 5066520f4f4STang Haojin 5076520f4f4STang Haojin /** 508e9f7c490SXuan Hu * illegal CBO.ZERO 509e9f7c490SXuan Hu * raise [[EX_VI]] when MEnvCfg.CBZE && (isModeVS && !HEnvCfg.CBZE || isModeVU && (!HEnvCfg.CBZE || !SEnvCfg.CBZE)) 510e9f7c490SXuan Hu */ 511e9f7c490SXuan Hu val cboZ = Bool() 512e9f7c490SXuan Hu 513e9f7c490SXuan Hu /** 514e9f7c490SXuan Hu * illegal CBO.CLEAN/FLUSH 515e9f7c490SXuan Hu * raise [[EX_VI]] when MEnvCfg.CBZE && (isModeVS && !HEnvCfg.CBCFE || isModeVU && (!HEnvCfg.CBCFE || !SEnvCfg.CBCFE)) 516e9f7c490SXuan Hu */ 517e9f7c490SXuan Hu val cboCF = Bool() 518e9f7c490SXuan Hu 519e9f7c490SXuan Hu /** 520e9f7c490SXuan Hu * illegal CBO.INVAL <br/> 521e9f7c490SXuan Hu * raise [[EX_VI]] when MEnvCfg.CBIE =/= EnvCBIE.Off && ( <br/> 522e9f7c490SXuan Hu * isModeVS && HEnvCfg.CBIE === EnvCBIE.Off || <br/> 523e9f7c490SXuan Hu * isModeVU && (HEnvCfg.CBIE === EnvCBIE.Off || SEnvCfg.CBIE === EnvCBIE.Off) <br/> 524e9f7c490SXuan Hu * ) <br/> 525e9f7c490SXuan Hu */ 526e9f7c490SXuan Hu val cboI = Bool() 527e9f7c490SXuan Hu } 528e9f7c490SXuan Hu 529e9f7c490SXuan Hu val special = new Bundle { 530e9f7c490SXuan Hu /** 531e9f7c490SXuan Hu * execute CBO.INVAL and perform flush operation when <br/> 532e9f7c490SXuan Hu * isModeHS && MEnvCfg.CBIE === EnvCBIE.Flush || <br/> 533e9f7c490SXuan Hu * isModeHU && (MEnvCfg.CBIE === EnvCBIE.Flush || SEnvCfg.CBIE === EnvCBIE.Flush) <br/> 534e9f7c490SXuan Hu * isModeVS && (MEnvCfg.CBIE === EnvCBIE.Flush || HEnvCfg.CBIE === EnvCBIE.Flush) <br/> 535e9f7c490SXuan Hu * isModeVU && (MEnvCfg.CBIE === EnvCBIE.Flush || HEnvCfg.CBIE === EnvCBIE.Flush || SEnvCfg.CBIE === EnvCBIE.Flush) <br/> 536e9f7c490SXuan Hu */ 537e9f7c490SXuan Hu val cboI2F = Bool() 53815ed99a7SXuan Hu } 53915ed99a7SXuan Hu}