1730cfbc0SXuan Hupackage xiangshan.backend.issue 2730cfbc0SXuan Hu 383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7f7f73727Ssinsanctionimport utility.{GTimer, HasCircularQueuePtrHelper, SelectOne} 8765e58c6Ssinsanctionimport utils._ 9730cfbc0SXuan Huimport xiangshan._ 10c0be7f33SXuan Huimport xiangshan.backend.Bundles._ 11f4dcd9fcSsinsanctionimport xiangshan.backend.decode.{ImmUnion, Imm_LUI_LOAD} 12730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 13c0be7f33SXuan Huimport xiangshan.backend.datapath.DataSource 148e208fb5SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType} 152d270511Ssinsanctionimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr} 162d270511Ssinsanctionimport xiangshan.backend.rob.RobPtr 1759ef6009Sxiaofeibao-xjtuimport xiangshan.backend.datapath.NewPipelineConnect 18730cfbc0SXuan Hu 19730cfbc0SXuan Huclass IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 201ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 211ca4a39dSXuan Hu 22730cfbc0SXuan Hu implicit val iqParams = params 2383ba63b3SXuan Hu lazy val module: IssueQueueImp = iqParams.schdType match { 24730cfbc0SXuan Hu case IntScheduler() => new IssueQueueIntImp(this) 25730cfbc0SXuan Hu case VfScheduler() => new IssueQueueVfImp(this) 262d270511Ssinsanction case MemScheduler() => 272d270511Ssinsanction if (iqParams.StdCnt == 0 && !iqParams.isVecMemIQ) new IssueQueueMemAddrImp(this) 282d270511Ssinsanction else if (iqParams.isVecMemIQ) new IssueQueueVecMemImp(this) 29730cfbc0SXuan Hu else new IssueQueueIntImp(this) 30730cfbc0SXuan Hu case _ => null 31730cfbc0SXuan Hu } 32730cfbc0SXuan Hu} 33730cfbc0SXuan Hu 3456bcaed7SHaojin Tangclass IssueQueueStatusBundle(numEnq: Int, numEntries: Int) extends Bundle { 35730cfbc0SXuan Hu val empty = Output(Bool()) 36730cfbc0SXuan Hu val full = Output(Bool()) 3756bcaed7SHaojin Tang val validCnt = Output(UInt(log2Ceil(numEntries).W)) 38730cfbc0SXuan Hu val leftVec = Output(Vec(numEnq + 1, Bool())) 39730cfbc0SXuan Hu} 40730cfbc0SXuan Hu 415db4956bSzhanglyGitclass IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends EntryDeqRespBundle 42730cfbc0SXuan Hu 43730cfbc0SXuan Huclass IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 44bf35baadSXuan Hu // Inputs 45730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 46730cfbc0SXuan Hu val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 47730cfbc0SXuan Hu 48730cfbc0SXuan Hu val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 49730cfbc0SXuan Hu val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 508a66c02cSXuan Hu val finalIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 518a66c02cSXuan Hu val memAddrIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 522e0a7dc5Sfdy val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 53dd970561SzhanglyGit val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 54c0be7f33SXuan Hu val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 55c0be7f33SXuan Hu val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 567a96cc7fSHaojin Tang val og0Cancel = Input(ExuOH(backendParams.numExu)) 577a96cc7fSHaojin Tang val og1Cancel = Input(ExuOH(backendParams.numExu)) 586810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO)) 594fa00a44SzhanglyGit val finalBlock = Vec(params.numExu, Input(Bool())) 60bf35baadSXuan Hu 61bf35baadSXuan Hu // Outputs 62c0be7f33SXuan Hu val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 6356bcaed7SHaojin Tang val status = Output(new IssueQueueStatusBundle(params.numEnq, params.numEntries)) 6414b3c65cSHaojin Tang // val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 65bf35baadSXuan Hu 6659ef6009Sxiaofeibao-xjtu val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType 67bf35baadSXuan Hu def allWakeUp = wakeupFromWB ++ wakeupFromIQ 68730cfbc0SXuan Hu} 69730cfbc0SXuan Hu 70730cfbc0SXuan Huclass IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 71730cfbc0SXuan Hu extends LazyModuleImp(wrapper) 72730cfbc0SXuan Hu with HasXSParameter { 73730cfbc0SXuan Hu 74c0be7f33SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 75e63b0a03SXuan Hu s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 76e63b0a03SXuan Hu s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " + 77730cfbc0SXuan Hu s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 78730cfbc0SXuan Hu 79730cfbc0SXuan Hu require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 80730cfbc0SXuan Hu val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 81730cfbc0SXuan Hu val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 82730cfbc0SXuan Hu val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 83730cfbc0SXuan Hu val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 84239413e5SXuan Hu val fuLatencyMaps : Seq[Map[FuType.OHType, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 858e208fb5SXuan Hu 868e208fb5SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}") 87730cfbc0SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 88730cfbc0SXuan Hu lazy val io = IO(new IssueQueueIO()) 89730cfbc0SXuan Hu // Modules 905db4956bSzhanglyGit 915db4956bSzhanglyGit val entries = Module(new Entries) 92dd970561SzhanglyGit val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 93dd970561SzhanglyGit val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 94dd970561SzhanglyGit val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 95dd970561SzhanglyGit val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 96dd970561SzhanglyGit val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 97dd970561SzhanglyGit val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 98730cfbc0SXuan Hu 99493a9370SHaojin Tang class WakeupQueueFlush extends Bundle { 100493a9370SHaojin Tang val redirect = ValidIO(new Redirect) 1016810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, new LoadCancelIO) 102493a9370SHaojin Tang val og0Fail = Output(Bool()) 103493a9370SHaojin Tang val og1Fail = Output(Bool()) 1044fa00a44SzhanglyGit val finalFail = Output(Bool()) 105493a9370SHaojin Tang } 106493a9370SHaojin Tang 107493a9370SHaojin Tang private def flushFunc(exuInput: ExuInput, flush: WakeupQueueFlush, stage: Int): Bool = { 108493a9370SHaojin Tang val redirectFlush = exuInput.robIdx.needFlush(flush.redirect) 1090f55a0d3SHaojin Tang val loadDependencyFlush = LoadShouldCancel(exuInput.loadDependency, flush.ldCancel) 110493a9370SHaojin Tang val ogFailFlush = stage match { 111493a9370SHaojin Tang case 1 => flush.og0Fail 112493a9370SHaojin Tang case 2 => flush.og1Fail 1134fa00a44SzhanglyGit case 3 => flush.finalFail 114493a9370SHaojin Tang case _ => false.B 115493a9370SHaojin Tang } 1160f55a0d3SHaojin Tang redirectFlush || loadDependencyFlush || ogFailFlush 1170f55a0d3SHaojin Tang } 1180f55a0d3SHaojin Tang 119*0c7ebb58Sxiaofeibao-xjtu private def modificationFunc(exuInput: ExuInput, newInput: ExuInput): ExuInput = { 120*0c7ebb58Sxiaofeibao-xjtu val lastExuInput = WireDefault(exuInput) 121*0c7ebb58Sxiaofeibao-xjtu val newExuInput = WireDefault(newInput) 122*0c7ebb58Sxiaofeibao-xjtu newExuInput.elements.foreach{ case (name, data) => 123*0c7ebb58Sxiaofeibao-xjtu if (lastExuInput.elements.contains(name)){ 124*0c7ebb58Sxiaofeibao-xjtu data := lastExuInput.elements(name) 125*0c7ebb58Sxiaofeibao-xjtu } 126*0c7ebb58Sxiaofeibao-xjtu } 1270f55a0d3SHaojin Tang newExuInput.loadDependency match { 1280f55a0d3SHaojin Tang case Some(deps) => deps.zip(exuInput.loadDependency.get).foreach(x => x._1 := x._2 << 1) 1290f55a0d3SHaojin Tang case None => 1300f55a0d3SHaojin Tang } 131*0c7ebb58Sxiaofeibao-xjtu if (newExuInput.pdestCopy.nonEmpty && !lastExuInput.pdestCopy.nonEmpty) { 132*0c7ebb58Sxiaofeibao-xjtu newExuInput.pdestCopy.get.foreach(_ := lastExuInput.pdest) 133*0c7ebb58Sxiaofeibao-xjtu } 1340f55a0d3SHaojin Tang newExuInput 135493a9370SHaojin Tang } 136493a9370SHaojin Tang 137493a9370SHaojin Tang val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, WakeupQueueFlush]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module( 138*0c7ebb58Sxiaofeibao-xjtu new MultiWakeupQueue(new ExuInput(x), new ExuInput(x, x.copyPdest, x.iqWakeUpSourcePairs.size / x.copyDistance), new WakeupQueueFlush, x.fuLatancySet, flushFunc, modificationFunc) 139bf35baadSXuan Hu ))} 140fb445e8dSzhanglyGit val deqBeforeDly = Wire(params.genIssueDecoupledBundle) 141bf35baadSXuan Hu 142dd970561SzhanglyGit val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 143dd970561SzhanglyGit val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 144dd970561SzhanglyGit val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 145dd970561SzhanglyGit val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 146dd970561SzhanglyGit val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 147dd970561SzhanglyGit val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 148ea0f92d8Sczw val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 149de93b508SzhanglyGit val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 150de93b508SzhanglyGit val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 151730cfbc0SXuan Hu val s0_enqValidVec = io.enq.map(_.valid) 152730cfbc0SXuan Hu val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 153730cfbc0SXuan Hu val s0_enqNotFlush = !io.flush.valid 154730cfbc0SXuan Hu val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 1555db4956bSzhanglyGit val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) //enqValid && notFlush && enqReady 156730cfbc0SXuan Hu 157730cfbc0SXuan Hu 158730cfbc0SXuan Hu val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 159730cfbc0SXuan Hu val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 160730cfbc0SXuan Hu 1615db4956bSzhanglyGit val validVec = VecInit(entries.io.valid.asBools) 1625db4956bSzhanglyGit val canIssueVec = VecInit(entries.io.canIssue.asBools) 1635db4956bSzhanglyGit val clearVec = VecInit(entries.io.clear.asBools) 1645db4956bSzhanglyGit val deqFirstIssueVec = VecInit(entries.io.deq.map(_.isFirstIssue)) 165730cfbc0SXuan Hu 1665db4956bSzhanglyGit val dataSources: Vec[Vec[DataSource]] = entries.io.dataSources 167cf4a131aSsinsanction val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, dataSources))) 168c0be7f33SXuan Hu // (entryIdx)(srcIdx)(exuIdx) 1697a96cc7fSHaojin Tang val wakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = entries.io.srcWakeUpL1ExuOH 1705db4956bSzhanglyGit val srcTimer: Option[Vec[Vec[UInt]]] = entries.io.srcTimer 171c0be7f33SXuan Hu 172c0be7f33SXuan Hu // (deqIdx)(srcIdx)(exuIdx) 173cf4a131aSsinsanction val finalWakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, x)))) 174cf4a131aSsinsanction val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, x)))) 175cdac04a3SXuan Hu 1765db4956bSzhanglyGit val fuTypeVec = Wire(Vec(params.numEntries, FuType())) 1775db4956bSzhanglyGit val transEntryDeqVec = Wire(Vec(params.numEnq, ValidIO(new EntryBundle))) 1785db4956bSzhanglyGit val deqEntryVec = Wire(Vec(params.numDeq, ValidIO(new EntryBundle))) 1795db4956bSzhanglyGit val transSelVec = Wire(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W))) 180cf4a131aSsinsanction val canIssueMergeAllBusy = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 181cf4a131aSsinsanction val deqCanIssue = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 1825db4956bSzhanglyGit 18340283787Ssinsanction val enqEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt(params.numEnq.W)))) 18440283787Ssinsanction val othersEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt((params.numEntries - params.numEnq).W)))) 185f7f73727Ssinsanction val deqSelValidVec = Wire(Vec(params.numDeq, Bool())) 186f7f73727Ssinsanction val deqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 187af4bd265SzhanglyGit val cancelDeqVec = Wire(Vec(params.numDeq, Bool())) 188f7f73727Ssinsanction 189cf4a131aSsinsanction val subDeqSelValidVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, Bool()))) 190cf4a131aSsinsanction val subDeqSelOHVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, UInt(params.numEntries.W)))) 191cf4a131aSsinsanction val subDeqRequest = OptionWrapper(params.deqFuSame, Wire(UInt(params.numEntries.W))) 192cf4a131aSsinsanction 193bf35baadSXuan Hu /** 1945db4956bSzhanglyGit * Connection of [[entries]] 195bf35baadSXuan Hu */ 1965db4956bSzhanglyGit entries.io match { case entriesIO: EntriesIO => 1975db4956bSzhanglyGit entriesIO.flush <> io.flush 1985db4956bSzhanglyGit entriesIO.wakeUpFromWB := io.wakeupFromWB 1995db4956bSzhanglyGit entriesIO.wakeUpFromIQ := io.wakeupFromIQ 2005db4956bSzhanglyGit entriesIO.og0Cancel := io.og0Cancel 2015db4956bSzhanglyGit entriesIO.og1Cancel := io.og1Cancel 2020f55a0d3SHaojin Tang entriesIO.ldCancel := io.ldCancel 2035db4956bSzhanglyGit entriesIO.enq.zipWithIndex.foreach { case (enq: ValidIO[EntryBundle], i) => 204730cfbc0SXuan Hu enq.valid := s0_doEnqSelValidVec(i) 2055db4956bSzhanglyGit val numLsrc = s0_enqBits(i).srcType.size.min(enq.bits.status.srcType.size) 2065db4956bSzhanglyGit for (j <- 0 until numLsrc) { 20713551487SzhanglyGit enq.bits.status.srcState(j) := s0_enqBits(i).srcState(j) & !LoadShouldCancel(Some(s0_enqBits(i).srcLoadDependency(j)), io.ldCancel) 2085db4956bSzhanglyGit enq.bits.status.psrc(j) := s0_enqBits(i).psrc(j) 2095db4956bSzhanglyGit enq.bits.status.srcType(j) := s0_enqBits(i).srcType(j) 210aa2b5219Ssinsanction enq.bits.status.dataSources(j).value := DataSource.reg 21196e858baSXuan Hu enq.bits.payload.debugInfo.enqRsTime := GTimer() 212730cfbc0SXuan Hu } 2135db4956bSzhanglyGit enq.bits.status.fuType := s0_enqBits(i).fuType 2145db4956bSzhanglyGit enq.bits.status.robIdx := s0_enqBits(i).robIdx 2152d270511Ssinsanction enq.bits.status.uopIdx.foreach(_ := s0_enqBits(i).uopIdx) 216ea159d42Ssinsanction enq.bits.status.issueTimer := "b10".U 2175db4956bSzhanglyGit enq.bits.status.deqPortIdx := 0.U 2185db4956bSzhanglyGit enq.bits.status.issued := false.B 2195db4956bSzhanglyGit enq.bits.status.firstIssue := false.B 2205db4956bSzhanglyGit enq.bits.status.blocked := false.B 221aa2b5219Ssinsanction 222aa2b5219Ssinsanction if (params.hasIQWakeUp) { 223aa2b5219Ssinsanction enq.bits.status.srcWakeUpL1ExuOH.get := 0.U.asTypeOf(enq.bits.status.srcWakeUpL1ExuOH.get) 224aa2b5219Ssinsanction enq.bits.status.srcTimer.get := 0.U.asTypeOf(enq.bits.status.srcTimer.get) 22513551487SzhanglyGit enq.bits.status.srcLoadDependency.foreach(_.zipWithIndex.foreach { 22613551487SzhanglyGit case (dep, srcIdx) => 22713551487SzhanglyGit dep := VecInit(s0_enqBits(i).srcLoadDependency(srcIdx).map(x => x(x.getWidth - 2, 0) << 1)) 22813551487SzhanglyGit }) 22959ef6009Sxiaofeibao-xjtu } 230520f7dacSsinsanction if (params.inIntSchd && params.AluCnt > 0) { 231520f7dacSsinsanction // dirty code for lui+addi(w) fusion 232520f7dacSsinsanction val isLuiAddiFusion = s0_enqBits(i).isLUI32 233520f7dacSsinsanction val luiImm = Cat(s0_enqBits(i).lsrc(1), s0_enqBits(i).lsrc(0), s0_enqBits(i).imm(ImmUnion.maxLen - 1, 0)) 234520f7dacSsinsanction enq.bits.imm.foreach(_ := Mux(isLuiAddiFusion, ImmUnion.LUI32.toImm32(luiImm), s0_enqBits(i).imm)) 235520f7dacSsinsanction } 236520f7dacSsinsanction else if (params.inMemSchd && params.LduCnt > 0) { 237520f7dacSsinsanction // dirty code for fused_lui_load 238520f7dacSsinsanction val isLuiLoadFusion = SrcType.isNotReg(s0_enqBits(i).srcType(0)) && FuType.isLoad(s0_enqBits(i).fuType) 239520f7dacSsinsanction enq.bits.imm.foreach(_ := Mux(isLuiLoadFusion, Imm_LUI_LOAD().getLuiImm(s0_enqBits(i)), s0_enqBits(i).imm)) 240520f7dacSsinsanction } 241520f7dacSsinsanction else { 242520f7dacSsinsanction enq.bits.imm.foreach(_ := s0_enqBits(i).imm) 243520f7dacSsinsanction } 2445db4956bSzhanglyGit enq.bits.payload := s0_enqBits(i) 245730cfbc0SXuan Hu } 2465db4956bSzhanglyGit entriesIO.deq.zipWithIndex.foreach { case (deq, i) => 24740283787Ssinsanction deq.enqEntryOldestSel := enqEntryOldestSel(i) 24840283787Ssinsanction deq.othersEntryOldestSel := othersEntryOldestSel(i) 249cf4a131aSsinsanction deq.subDeqRequest.foreach(_ := subDeqRequest.get) 250cf4a131aSsinsanction deq.subDeqSelOH.foreach(_ := subDeqSelOHVec.get(i)) 251fb445e8dSzhanglyGit deq.deqReady := deqBeforeDly(i).ready 252f7f73727Ssinsanction deq.deqSelOH.valid := deqSelValidVec(i) 253f7f73727Ssinsanction deq.deqSelOH.bits := deqSelOHVec(i) 254730cfbc0SXuan Hu } 2555db4956bSzhanglyGit entriesIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 256730cfbc0SXuan Hu og0Resp.valid := io.og0Resp(i).valid 2575db4956bSzhanglyGit og0Resp.bits.robIdx := io.og0Resp(i).bits.robIdx 258887f9c3dSzhanglinjuan og0Resp.bits.uopIdx := io.og0Resp(i).bits.uopIdx 259730cfbc0SXuan Hu og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 260730cfbc0SXuan Hu og0Resp.bits.respType := io.og0Resp(i).bits.respType 2618d29ec32Sczw og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 2628d29ec32Sczw og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 263730cfbc0SXuan Hu } 2645db4956bSzhanglyGit entriesIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 265730cfbc0SXuan Hu og1Resp.valid := io.og1Resp(i).valid 2665db4956bSzhanglyGit og1Resp.bits.robIdx := io.og1Resp(i).bits.robIdx 267887f9c3dSzhanglinjuan og1Resp.bits.uopIdx := io.og1Resp(i).bits.uopIdx 268730cfbc0SXuan Hu og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 269730cfbc0SXuan Hu og1Resp.bits.respType := io.og1Resp(i).bits.respType 2708d29ec32Sczw og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 2718d29ec32Sczw og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 272730cfbc0SXuan Hu } 2730f55a0d3SHaojin Tang entriesIO.finalIssueResp.foreach(_.zipWithIndex.foreach { case (finalIssueResp, i) => 2740f55a0d3SHaojin Tang finalIssueResp := io.finalIssueResp.get(i) 2750f55a0d3SHaojin Tang }) 276e8800897SXuan Hu entriesIO.memAddrIssueResp.foreach(_.zipWithIndex.foreach { case (memAddrIssueResp, i) => 277e8800897SXuan Hu memAddrIssueResp := io.memAddrIssueResp.get(i) 278e8800897SXuan Hu }) 2795db4956bSzhanglyGit transEntryDeqVec := entriesIO.transEntryDeqVec 28040283787Ssinsanction deqEntryVec := entriesIO.deq.map(_.deqEntry) 2815db4956bSzhanglyGit fuTypeVec := entriesIO.fuType 282af4bd265SzhanglyGit cancelDeqVec := entriesIO.cancelDeqVec 2835db4956bSzhanglyGit transSelVec := entriesIO.transSelVec 284730cfbc0SXuan Hu } 285730cfbc0SXuan Hu 286730cfbc0SXuan Hu 2875db4956bSzhanglyGit s0_enqSelValidVec := s0_enqValidVec.zip(io.enq).map{ case (enqValid, enq) => enqValid && enq.ready} 288730cfbc0SXuan Hu 2895db4956bSzhanglyGit protected val commonAccept: UInt = Cat(fuTypeVec.map(fuType => 29066e57d91Ssinsanction FuType.FuTypeOrR(fuType, commonFuCfgs.map(_.fuType)) 291730cfbc0SXuan Hu ).reverse) 292730cfbc0SXuan Hu 293730cfbc0SXuan Hu // if deq port can accept the uop 294730cfbc0SXuan Hu protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 29566e57d91Ssinsanction Cat(fuTypeVec.map(fuType => 29666e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType)) 29766e57d91Ssinsanction ).reverse) 298730cfbc0SXuan Hu } 299730cfbc0SXuan Hu 300730cfbc0SXuan Hu protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3015db4956bSzhanglyGit fuTypeVec.map(fuType => 302cf4a131aSsinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))) 303730cfbc0SXuan Hu } 304730cfbc0SXuan Hu 30540283787Ssinsanction canIssueMergeAllBusy.zipWithIndex.foreach { case (merge, i) => 30640283787Ssinsanction val mergeFuBusy = { 30740283787Ssinsanction if (fuBusyTableWrite(i).nonEmpty) canIssueVec.asUInt & (~fuBusyTableMask(i)) 30840283787Ssinsanction else canIssueVec.asUInt 30940283787Ssinsanction } 31040283787Ssinsanction val mergeIntWbBusy = { 31140283787Ssinsanction if (intWbBusyTableRead(i).nonEmpty) mergeFuBusy & (~intWbBusyTableMask(i)) 31240283787Ssinsanction else mergeFuBusy 31340283787Ssinsanction } 31440283787Ssinsanction val mergeVfWbBusy = { 31540283787Ssinsanction if (vfWbBusyTableRead(i).nonEmpty) mergeIntWbBusy & (~vfWbBusyTableMask(i)) 31640283787Ssinsanction else mergeIntWbBusy 31740283787Ssinsanction } 31840283787Ssinsanction merge := mergeVfWbBusy 31940283787Ssinsanction } 32040283787Ssinsanction 321cf4a131aSsinsanction deqCanIssue.zipWithIndex.foreach { case (req, i) => 322cf4a131aSsinsanction req := canIssueMergeAllBusy(i) & VecInit(deqCanAcceptVec(i)).asUInt 323730cfbc0SXuan Hu } 324730cfbc0SXuan Hu 325f7f73727Ssinsanction if (params.numDeq == 2) { 326f7f73727Ssinsanction require(params.deqFuSame || params.deqFuDiff, "The 2 deq ports need to be identical or completely different") 327f7f73727Ssinsanction } 328f7f73727Ssinsanction 329f7f73727Ssinsanction if (params.numDeq == 2 && params.deqFuSame) { 330cf4a131aSsinsanction enqEntryOldestSel := DontCare 331f7f73727Ssinsanction 332f7f73727Ssinsanction othersEntryOldestSel(0) := AgeDetector(numEntries = params.numEntries - params.numEnq, 333f7f73727Ssinsanction enq = VecInit(transEntryDeqVec.zip(transSelVec).map{ case (transEntry, transSel) => Fill(params.numEntries-params.numEnq, transEntry.valid) & transSel }), 334f7f73727Ssinsanction canIssue = canIssueVec.asUInt(params.numEntries-1, params.numEnq) 335f7f73727Ssinsanction ) 336f7f73727Ssinsanction othersEntryOldestSel(1) := DontCare 337f7f73727Ssinsanction 338cf4a131aSsinsanction subDeqRequest.get := canIssueVec.asUInt & ~Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W)) 339f7f73727Ssinsanction 340cf4a131aSsinsanction val subDeqPolicy = Module(new DeqPolicy()) 341cf4a131aSsinsanction subDeqPolicy.io.request := subDeqRequest.get 342cf4a131aSsinsanction subDeqSelValidVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.valid) 343cf4a131aSsinsanction subDeqSelOHVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.bits) 344f7f73727Ssinsanction 3455a6da888Ssinsanction deqSelValidVec(0) := othersEntryOldestSel(0).valid || subDeqSelValidVec.get(1) 3465a6da888Ssinsanction deqSelValidVec(1) := subDeqSelValidVec.get(0) 347cf4a131aSsinsanction deqSelOHVec(0) := Mux(othersEntryOldestSel(0).valid, 348cf4a131aSsinsanction Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W)), 3495a6da888Ssinsanction subDeqSelOHVec.get(1)) & canIssueMergeAllBusy(0) 3505a6da888Ssinsanction deqSelOHVec(1) := subDeqSelOHVec.get(0) & canIssueMergeAllBusy(1) 351f7f73727Ssinsanction 352f7f73727Ssinsanction finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) => 353fb445e8dSzhanglyGit selValid := deqValid && deqOH.orR && deqBeforeDly(i).ready 354f7f73727Ssinsanction selOH := deqOH 355f7f73727Ssinsanction } 356f7f73727Ssinsanction } 357f7f73727Ssinsanction else { 358527eefbdSsinsanction enqEntryOldestSel := NewAgeDetector(numEntries = params.numEnq, 359527eefbdSsinsanction enq = VecInit(s0_doEnqSelValidVec), 360527eefbdSsinsanction canIssue = VecInit(deqCanIssue.map(_(params.numEnq-1, 0))) 3615db4956bSzhanglyGit ) 3628db72c71Sfdy 363527eefbdSsinsanction othersEntryOldestSel := AgeDetector(numEntries = params.numEntries - params.numEnq, 364527eefbdSsinsanction enq = VecInit(transEntryDeqVec.zip(transSelVec).map{ case (transEntry, transSel) => Fill(params.numEntries-params.numEnq, transEntry.valid) & transSel }), 365527eefbdSsinsanction canIssue = VecInit(deqCanIssue.map(_(params.numEntries-1, params.numEnq))) 3665db4956bSzhanglyGit ) 3675db4956bSzhanglyGit 368ea159d42Ssinsanction deqSelValidVec.zip(deqSelOHVec).zipWithIndex.foreach { case ((selValid, selOH), i) => 369f7f73727Ssinsanction if (params.exuBlockParams(i).fuConfigs.contains(FuConfig.FakeHystaCfg)) { 370f7f73727Ssinsanction selValid := false.B 371f7f73727Ssinsanction selOH := 0.U.asTypeOf(selOH) 372f7f73727Ssinsanction } else { 373cf4a131aSsinsanction selValid := othersEntryOldestSel(i).valid || enqEntryOldestSel(i).valid 374cf4a131aSsinsanction selOH := Cat(othersEntryOldestSel(i).bits, Fill(params.numEnq, enqEntryOldestSel(i).valid && !othersEntryOldestSel(i).valid) & enqEntryOldestSel(i).bits) 375f7f73727Ssinsanction } 376730cfbc0SXuan Hu } 377ea159d42Ssinsanction 378ea159d42Ssinsanction finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) => 379fb445e8dSzhanglyGit selValid := deqValid && deqBeforeDly(i).ready 380ea159d42Ssinsanction selOH := deqOH 381ea159d42Ssinsanction } 382ea159d42Ssinsanction } 383ea159d42Ssinsanction 384ea159d42Ssinsanction val toBusyTableDeqResp = Wire(Vec(params.numDeq, ValidIO(new IssueQueueDeqRespBundle))) 385ea159d42Ssinsanction 386ea159d42Ssinsanction toBusyTableDeqResp.zipWithIndex.foreach { case (deqResp, i) => 387ea159d42Ssinsanction deqResp.valid := finalDeqSelValidVec(i) 388ea159d42Ssinsanction deqResp.bits.respType := RSFeedbackType.issueSuccess 389ea159d42Ssinsanction deqResp.bits.robIdx := DontCare 390ea159d42Ssinsanction deqResp.bits.dataInvalidSqIdx := DontCare 391ea159d42Ssinsanction deqResp.bits.rfWen := DontCare 392fb445e8dSzhanglyGit deqResp.bits.fuType := deqBeforeDly(i).bits.common.fuType 393ea159d42Ssinsanction deqResp.bits.uopIdx := DontCare 394d1bb5687SHaojin Tang } 395730cfbc0SXuan Hu 396de93b508SzhanglyGit //fuBusyTable 3975db4956bSzhanglyGit fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.foreach { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 398de93b508SzhanglyGit if(busyTableWrite.nonEmpty) { 399de93b508SzhanglyGit val btwr = busyTableWrite.get 400de93b508SzhanglyGit val btrd = busyTableRead.get 401ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 402dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 403dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 404de93b508SzhanglyGit btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 4055db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 406de93b508SzhanglyGit fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 407ea0f92d8Sczw } 408de93b508SzhanglyGit else { 4098d29ec32Sczw fuBusyTableMask(i) := 0.U(params.numEntries.W) 410ea0f92d8Sczw } 4112e0a7dc5Sfdy } 4122e0a7dc5Sfdy 413dd970561SzhanglyGit //wbfuBusyTable write 4145db4956bSzhanglyGit intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 415dd970561SzhanglyGit if(busyTableWrite.nonEmpty) { 416dd970561SzhanglyGit val btwr = busyTableWrite.get 417dd970561SzhanglyGit val bt = busyTable.get 418dd970561SzhanglyGit val dq = deqResp.get 419ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 420dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 421dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 422dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 423dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 424dd970561SzhanglyGit } 425dd970561SzhanglyGit } 426dd970561SzhanglyGit 4275db4956bSzhanglyGit vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 428dd970561SzhanglyGit if (busyTableWrite.nonEmpty) { 429dd970561SzhanglyGit val btwr = busyTableWrite.get 430dd970561SzhanglyGit val bt = busyTable.get 431dd970561SzhanglyGit val dq = deqResp.get 432ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 433dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 434dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 435dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 436dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 437dd970561SzhanglyGit } 438dd970561SzhanglyGit } 439dd970561SzhanglyGit 440de93b508SzhanglyGit //wbfuBusyTable read 4415db4956bSzhanglyGit intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 442de93b508SzhanglyGit if(busyTableRead.nonEmpty) { 443de93b508SzhanglyGit val btrd = busyTableRead.get 444de93b508SzhanglyGit val bt = busyTable.get 445de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 4465db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 447de93b508SzhanglyGit intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 448de93b508SzhanglyGit } 449de93b508SzhanglyGit else { 450de93b508SzhanglyGit intWbBusyTableMask(i) := 0.U(params.numEntries.W) 451de93b508SzhanglyGit } 452de93b508SzhanglyGit } 4535db4956bSzhanglyGit vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 454de93b508SzhanglyGit if (busyTableRead.nonEmpty) { 455de93b508SzhanglyGit val btrd = busyTableRead.get 456de93b508SzhanglyGit val bt = busyTable.get 457de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 4585db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 459de93b508SzhanglyGit vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 460de93b508SzhanglyGit } 461de93b508SzhanglyGit else { 462de93b508SzhanglyGit vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 463de93b508SzhanglyGit } 464ea0f92d8Sczw } 465ea0f92d8Sczw 466bf35baadSXuan Hu wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 467bc7d6943SzhanglyGit val og0RespEach = io.og0Resp(i) 468bc7d6943SzhanglyGit val og1RespEach = io.og1Resp(i) 469bf35baadSXuan Hu wakeUpQueueOption.foreach { 470bf35baadSXuan Hu wakeUpQueue => 471493a9370SHaojin Tang val flush = Wire(new WakeupQueueFlush) 472493a9370SHaojin Tang flush.redirect := io.flush 4730f55a0d3SHaojin Tang flush.ldCancel := io.ldCancel 474493a9370SHaojin Tang flush.og0Fail := io.og0Resp(i).valid && RSFeedbackType.isBlocked(io.og0Resp(i).bits.respType) 475493a9370SHaojin Tang flush.og1Fail := io.og1Resp(i).valid && RSFeedbackType.isBlocked(io.og1Resp(i).bits.respType) 4764fa00a44SzhanglyGit flush.finalFail := io.finalBlock(i) 477493a9370SHaojin Tang wakeUpQueue.io.flush := flush 478fb445e8dSzhanglyGit wakeUpQueue.io.enq.valid := deqBeforeDly(i).fire && { 479fb445e8dSzhanglyGit deqBeforeDly(i).bits.common.rfWen.getOrElse(false.B) && deqBeforeDly(i).bits.common.pdest =/= 0.U || 480fb445e8dSzhanglyGit deqBeforeDly(i).bits.common.fpWen.getOrElse(false.B) || 481fb445e8dSzhanglyGit deqBeforeDly(i).bits.common.vecWen.getOrElse(false.B) 4821526754bSXuan Hu } 483*0c7ebb58Sxiaofeibao-xjtu wakeUpQueue.io.enq.bits.uop :<= deqBeforeDly(i).bits.common 484*0c7ebb58Sxiaofeibao-xjtu wakeUpQueue.io.enq.bits.uop.pdestCopy.foreach(_ := 0.U) 485fb445e8dSzhanglyGit wakeUpQueue.io.enq.bits.lat := getDeqLat(i, deqBeforeDly(i).bits.common.fuType) 486493a9370SHaojin Tang wakeUpQueue.io.og0IssueFail := flush.og0Fail 487493a9370SHaojin Tang wakeUpQueue.io.og1IssueFail := flush.og1Fail 488bf35baadSXuan Hu } 489bf35baadSXuan Hu } 490bf35baadSXuan Hu 491fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 492af4bd265SzhanglyGit deq.valid := finalDeqSelValidVec(i) && !cancelDeqVec(i) 493730cfbc0SXuan Hu deq.bits.addrOH := finalDeqSelOHVec(i) 494730cfbc0SXuan Hu deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 495730cfbc0SXuan Hu deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 49651de4363Ssinsanction deq.bits.common.fuType := deqEntryVec(i).bits.status.fuType 4975db4956bSzhanglyGit deq.bits.common.fuOpType := deqEntryVec(i).bits.payload.fuOpType 4985db4956bSzhanglyGit deq.bits.common.rfWen.foreach(_ := deqEntryVec(i).bits.payload.rfWen) 4995db4956bSzhanglyGit deq.bits.common.fpWen.foreach(_ := deqEntryVec(i).bits.payload.fpWen) 5005db4956bSzhanglyGit deq.bits.common.vecWen.foreach(_ := deqEntryVec(i).bits.payload.vecWen) 5015db4956bSzhanglyGit deq.bits.common.flushPipe.foreach(_ := deqEntryVec(i).bits.payload.flushPipe) 5025db4956bSzhanglyGit deq.bits.common.pdest := deqEntryVec(i).bits.payload.pdest 50351de4363Ssinsanction deq.bits.common.robIdx := deqEntryVec(i).bits.status.robIdx 504c0be7f33SXuan Hu deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach { 505c0be7f33SXuan Hu case ((sink, source), srcIdx) => 506c0be7f33SXuan Hu sink.value := Mux( 50751de4363Ssinsanction SrcType.isXp(deqEntryVec(i).bits.status.srcType(srcIdx)) && deqEntryVec(i).bits.status.psrc(srcIdx) === 0.U, 508c0be7f33SXuan Hu DataSource.none, 509c0be7f33SXuan Hu source.value 510c0be7f33SXuan Hu ) 5115d2b9cadSXuan Hu } 5120030d978SzhanglyGit deq.bits.common.l1ExuOH.foreach(_ := finalWakeUpL1ExuOH.get(i)) 513ea46c302SXuan Hu deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i)) 5140f55a0d3SHaojin Tang deq.bits.common.loadDependency.foreach(_ := deqEntryVec(i).bits.status.mergedLoadDependency.get) 51504c99ecaSXuan Hu deq.bits.common.deqLdExuIdx.foreach(_ := params.backendParam.getLdExuIdx(deq.bits.exuParams).U) 5162fb6a709SHaojin Tang deq.bits.common.src := DontCare 5179d8d7860SXuan Hu deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 5185d2b9cadSXuan Hu 51951de4363Ssinsanction deq.bits.rf.zip(deqEntryVec(i).bits.status.psrc).zip(deqEntryVec(i).bits.status.srcType).foreach { case ((rf, psrc), srcType) => 52051de4363Ssinsanction // psrc in status array can be pregIdx of IntRegFile or VfRegFile 52151de4363Ssinsanction rf.foreach(_.addr := psrc) 52251de4363Ssinsanction rf.foreach(_.srcType := srcType) 523730cfbc0SXuan Hu } 52451de4363Ssinsanction deq.bits.srcType.zip(deqEntryVec(i).bits.status.srcType).foreach { case (sink, source) => 525730cfbc0SXuan Hu sink := source 526730cfbc0SXuan Hu } 5275db4956bSzhanglyGit deq.bits.immType := deqEntryVec(i).bits.payload.selImm 528520f7dacSsinsanction deq.bits.common.imm := deqEntryVec(i).bits.imm.getOrElse(0.U) 52996e858baSXuan Hu 53096e858baSXuan Hu deq.bits.common.perfDebugInfo := deqEntryVec(i).bits.payload.debugInfo 53196e858baSXuan Hu deq.bits.common.perfDebugInfo.selectTime := GTimer() 53296e858baSXuan Hu deq.bits.common.perfDebugInfo.issueTime := GTimer() + 1.U 533730cfbc0SXuan Hu } 5340f55a0d3SHaojin Tang 535fb445e8dSzhanglyGit private val deqShift = WireDefault(deqBeforeDly) 536fb445e8dSzhanglyGit deqShift.zip(deqBeforeDly).foreach { 5370f55a0d3SHaojin Tang case (shifted, original) => 5380f55a0d3SHaojin Tang original.ready := shifted.ready // this will not cause combinational loop 5390f55a0d3SHaojin Tang shifted.bits.common.loadDependency.foreach( 5400f55a0d3SHaojin Tang _ := original.bits.common.loadDependency.get.map(_ << 1) 5410f55a0d3SHaojin Tang ) 5420f55a0d3SHaojin Tang } 54359f958d4SzhanglyGit io.deqDelay.zip(deqShift).foreach { case (deqDly, deq) => 54459ef6009Sxiaofeibao-xjtu NewPipelineConnect( 54559ef6009Sxiaofeibao-xjtu deq, deqDly, deqDly.valid, 54659f958d4SzhanglyGit false.B, 54759ef6009Sxiaofeibao-xjtu Option("Scheduler2DataPathPipe") 54859ef6009Sxiaofeibao-xjtu ) 54959ef6009Sxiaofeibao-xjtu } 5508d081717Sszw_kaixin if(backendParams.debugEn) { 55159ef6009Sxiaofeibao-xjtu dontTouch(io.deqDelay) 5528d081717Sszw_kaixin } 553bf35baadSXuan Hu io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 554e63b0a03SXuan Hu if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) { 555bf35baadSXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 556c0be7f33SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 5570f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 55879b2c95bSzhanglyGit wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U 559e63b0a03SXuan Hu } else if (wakeUpQueues(i).nonEmpty) { 560e63b0a03SXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 561e63b0a03SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 5620f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 56379b2c95bSzhanglyGit wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U 564bf35baadSXuan Hu } else { 565bf35baadSXuan Hu wakeup.valid := false.B 5660f55a0d3SHaojin Tang wakeup.bits := 0.U.asTypeOf(wakeup.bits) 56779b2c95bSzhanglyGit wakeup.bits.is0Lat := 0.U 568bf35baadSXuan Hu } 569*0c7ebb58Sxiaofeibao-xjtu if(wakeup.bits.pdestCopy.nonEmpty){ 570*0c7ebb58Sxiaofeibao-xjtu wakeup.bits.pdestCopy.get := wakeUpQueues(i).get.io.deq.bits.pdestCopy.get 571*0c7ebb58Sxiaofeibao-xjtu } 572bf35baadSXuan Hu } 573bf35baadSXuan Hu 574730cfbc0SXuan Hu // Todo: better counter implementation 5755db4956bSzhanglyGit private val enqHasValid = validVec.take(params.numEnq).reduce(_ | _) 576e986c5deSXuan Hu private val enqEntryValidCnt = PopCount(validVec.take(params.numEnq)) 5775db4956bSzhanglyGit private val othersValidCnt = PopCount(validVec.drop(params.numEnq)) 5785db4956bSzhanglyGit io.status.leftVec(0) := validVec.drop(params.numEnq).reduce(_ & _) 579730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 5805db4956bSzhanglyGit io.status.leftVec(i + 1) := othersValidCnt === (params.numEntries - params.numEnq - (i + 1)).U 581730cfbc0SXuan Hu } 5825778f950Ssinsanction private val othersLeftOneCaseVec = Wire(Vec(params.numEntries - params.numEnq, UInt((params.numEntries - params.numEnq).W))) 5835778f950Ssinsanction othersLeftOneCaseVec.zipWithIndex.foreach { case (leftone, i) => 5845778f950Ssinsanction leftone := ~(1.U((params.numEntries - params.numEnq).W) << i) 5855778f950Ssinsanction } 5865778f950Ssinsanction private val othersLeftOne = othersLeftOneCaseVec.map(_ === VecInit(validVec.drop(params.numEnq)).asUInt).reduce(_ | _) 5875778f950Ssinsanction private val othersCanotIn = othersLeftOne || validVec.drop(params.numEnq).reduce(_ & _) 5885778f950Ssinsanction 5895778f950Ssinsanction io.enq.foreach(_.ready := !othersCanotIn || !enqHasValid) 590f4d8f008SHaojin Tang io.status.empty := !Cat(validVec).orR 5915778f950Ssinsanction io.status.full := othersCanotIn 59256bcaed7SHaojin Tang io.status.validCnt := PopCount(validVec) 593bf35baadSXuan Hu 594bf35baadSXuan Hu protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 59566e57d91Ssinsanction Mux1H(fuLatencyMaps(deqPortIdx) map { case (k, v) => (fuType(k.id), v.U) }) 596bf35baadSXuan Hu } 59789740385Ssinsanction 598de7754bfSsinsanction // issue perf counter 599e986c5deSXuan Hu // enq count 600e986c5deSXuan Hu XSPerfAccumulate("enq_valid_cnt", PopCount(io.enq.map(_.fire))) 601e986c5deSXuan Hu XSPerfAccumulate("enq_fire_cnt", PopCount(io.enq.map(_.fire))) 602e986c5deSXuan Hu // valid count 603e986c5deSXuan Hu XSPerfHistogram("enq_entry_valid_cnt", enqEntryValidCnt, true.B, 0, params.numEnq + 1) 60462a2cb19SXuan Hu XSPerfHistogram("other_entry_valid_cnt", othersValidCnt, true.B, 0, params.numEntries - params.numEnq + 1) 605e986c5deSXuan Hu XSPerfHistogram("valid_cnt", PopCount(validVec), true.B, 0, params.numEntries + 1) 60656bcaed7SHaojin Tang // only split when more than 1 func type 60756bcaed7SHaojin Tang if (params.getFuCfgs.size > 0) { 60856bcaed7SHaojin Tang for (t <- FuType.functionNameMap.keys) { 60956bcaed7SHaojin Tang val fuName = FuType.functionNameMap(t) 61056bcaed7SHaojin Tang if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 61156bcaed7SHaojin Tang XSPerfHistogram(s"valid_cnt_hist_futype_${fuName}", PopCount(validVec.zip(fuTypeVec).map { case (v, fu) => v && fu === t.U }), true.B, 0, params.numEntries, 1) 61256bcaed7SHaojin Tang } 61356bcaed7SHaojin Tang } 61456bcaed7SHaojin Tang } 615de7754bfSsinsanction // ready instr count 616e986c5deSXuan Hu private val readyEntriesCnt = PopCount(validVec.zip(canIssueVec).map(x => x._1 && x._2)) 617e986c5deSXuan Hu XSPerfHistogram("ready_cnt", readyEntriesCnt, true.B, 0, params.numEntries + 1) 618e986c5deSXuan Hu // only split when more than 1 func type 619e986c5deSXuan Hu if (params.getFuCfgs.size > 0) { 62089740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 62189740385Ssinsanction val fuName = FuType.functionNameMap(t) 62289740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 623e986c5deSXuan Hu XSPerfHistogram(s"ready_cnt_hist_futype_${fuName}", PopCount(validVec.zip(canIssueVec).zip(fuTypeVec).map { case ((v, c), fu) => v && c && fu === t.U }), true.B, 0, params.numEntries, 1) 624e986c5deSXuan Hu } 62589740385Ssinsanction } 62689740385Ssinsanction } 62789740385Ssinsanction 628de7754bfSsinsanction // deq instr count 629fb445e8dSzhanglyGit XSPerfAccumulate("issue_instr_pre_count", PopCount(deqBeforeDly.map(_.valid))) 630fb445e8dSzhanglyGit XSPerfHistogram("issue_instr_pre_count_hist", PopCount(deqBeforeDly.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 631e986c5deSXuan Hu XSPerfAccumulate("issue_instr_count", PopCount(io.deqDelay.map(_.valid))) 632e986c5deSXuan Hu XSPerfHistogram("issue_instr_count_hist", PopCount(io.deqDelay.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 633de7754bfSsinsanction 634de7754bfSsinsanction // deq instr data source count 635fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_reg", deqBeforeDly.map{ deq => 63689740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 63789740385Ssinsanction }.reduce(_ +& _)) 638fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_bypass", deqBeforeDly.map{ deq => 63989740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 64089740385Ssinsanction }.reduce(_ +& _)) 641fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_forward", deqBeforeDly.map{ deq => 64289740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 64389740385Ssinsanction }.reduce(_ +& _)) 644fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_noreg", deqBeforeDly.map{ deq => 645de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 646de7754bfSsinsanction }.reduce(_ +& _)) 64789740385Ssinsanction 648fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_reg_hist", deqBeforeDly.map{ deq => 64989740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 650e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 651fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_bypass_hist", deqBeforeDly.map{ deq => 65289740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 653e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 654fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_forward_hist", deqBeforeDly.map{ deq => 65589740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 656e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 657fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_noreg_hist", deqBeforeDly.map{ deq => 658de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 659e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 66089740385Ssinsanction 661de7754bfSsinsanction // deq instr data source count for each futype 66289740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 66389740385Ssinsanction val fuName = FuType.functionNameMap(t) 66489740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 665fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_reg_futype_${fuName}", deqBeforeDly.map{ deq => 66689740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 66789740385Ssinsanction }.reduce(_ +& _)) 668fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_bypass_futype_${fuName}", deqBeforeDly.map{ deq => 66989740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 67089740385Ssinsanction }.reduce(_ +& _)) 671fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_forward_futype_${fuName}", deqBeforeDly.map{ deq => 67289740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 67389740385Ssinsanction }.reduce(_ +& _)) 674fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_noreg_futype_${fuName}", deqBeforeDly.map{ deq => 675de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 676de7754bfSsinsanction }.reduce(_ +& _)) 67789740385Ssinsanction 678fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_reg_hist_futype_${fuName}", deqBeforeDly.map{ deq => 67989740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 680e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 681fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_bypass_hist_futype_${fuName}", deqBeforeDly.map{ deq => 68289740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 683e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 684fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_forward_hist_futype_${fuName}", deqBeforeDly.map{ deq => 68589740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 686e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 687fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_noreg_hist_futype_${fuName}", deqBeforeDly.map{ deq => 688de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 689e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 69089740385Ssinsanction } 69189740385Ssinsanction } 69289740385Ssinsanction 693de7754bfSsinsanction // cancel instr count 69489740385Ssinsanction if (params.hasIQWakeUp) { 69589740385Ssinsanction val cancelVec: Vec[Bool] = entries.io.cancel.get 69689740385Ssinsanction XSPerfAccumulate("cancel_instr_count", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2))) 69789740385Ssinsanction XSPerfHistogram("cancel_instr_hist", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2)), true.B, 0, params.numEntries, 1) 69889740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 69989740385Ssinsanction val fuName = FuType.functionNameMap(t) 70089740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 70189740385Ssinsanction XSPerfAccumulate(s"cancel_instr_count_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U })) 70289740385Ssinsanction XSPerfHistogram(s"cancel_instr_hist_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U }), true.B, 0, params.numEntries, 1) 70389740385Ssinsanction } 70489740385Ssinsanction } 70589740385Ssinsanction } 706730cfbc0SXuan Hu} 707730cfbc0SXuan Hu 708730cfbc0SXuan Huclass IssueQueueJumpBundle extends Bundle { 709730cfbc0SXuan Hu val pc = UInt(VAddrData().dataWidth.W) 710730cfbc0SXuan Hu} 711730cfbc0SXuan Hu 712730cfbc0SXuan Huclass IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 713730cfbc0SXuan Hu val fastMatch = UInt(backendParams.LduCnt.W) 714730cfbc0SXuan Hu val fastImm = UInt(12.W) 715730cfbc0SXuan Hu} 716730cfbc0SXuan Hu 717d456387eSHaojin Tangclass IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO 718730cfbc0SXuan Hu 719730cfbc0SXuan Huclass IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 720730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 721730cfbc0SXuan Hu{ 722730cfbc0SXuan Hu io.suggestName("none") 723730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 724730cfbc0SXuan Hu 725fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => { 72651de4363Ssinsanction deq.bits.common.pc.foreach(_ := deqEntryVec(i).bits.payload.pc) 7275db4956bSzhanglyGit deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 7285db4956bSzhanglyGit deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 7295db4956bSzhanglyGit deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 730730cfbc0SXuan Hu deq.bits.common.predictInfo.foreach(x => { 731d8a24b06SzhanglyGit x.target := DontCare 7325db4956bSzhanglyGit x.taken := deqEntryVec(i).bits.payload.pred_taken 733730cfbc0SXuan Hu }) 734730cfbc0SXuan Hu // for std 7355db4956bSzhanglyGit deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 736730cfbc0SXuan Hu // for i2f 7375db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 738730cfbc0SXuan Hu }} 739730cfbc0SXuan Hu} 740730cfbc0SXuan Hu 741730cfbc0SXuan Huclass IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 742730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 743730cfbc0SXuan Hu{ 744bdda74fdSxiaofeibao-xjtu s0_enqBits.foreach{ x => 745bdda74fdSxiaofeibao-xjtu x.srcType(3) := SrcType.vp // v0: mask src 746bdda74fdSxiaofeibao-xjtu x.srcType(4) := SrcType.vp // vl&vtype 747730cfbc0SXuan Hu } 748fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => { 7495db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 7505db4956bSzhanglyGit deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 7515db4956bSzhanglyGit deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 7522d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 753730cfbc0SXuan Hu }} 754730cfbc0SXuan Hu} 755730cfbc0SXuan Hu 756730cfbc0SXuan Huclass IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 757730cfbc0SXuan Hu val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 758730cfbc0SXuan Hu val checkWait = new Bundle { 759730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr) 760730cfbc0SXuan Hu val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 761730cfbc0SXuan Hu } 762730cfbc0SXuan Hu val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 7632d270511Ssinsanction 7642d270511Ssinsanction // vector 7652d270511Ssinsanction val sqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new SqPtr)) 7662d270511Ssinsanction val lqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new LqPtr)) 767730cfbc0SXuan Hu} 768730cfbc0SXuan Hu 769730cfbc0SXuan Huclass IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 770730cfbc0SXuan Hu val memIO = Some(new IssueQueueMemBundle) 771730cfbc0SXuan Hu} 772730cfbc0SXuan Hu 773730cfbc0SXuan Huclass IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 774730cfbc0SXuan Hu extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 775730cfbc0SXuan Hu 776b133b458SXuan Hu require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.HyuCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ, " + 777b133b458SXuan Hu s"StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 7788a66c02cSXuan Hu println(s"[IssueQueueMemAddrImp] StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 779730cfbc0SXuan Hu 780730cfbc0SXuan Hu io.suggestName("none") 781730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 782730cfbc0SXuan Hu private val memIO = io.memIO.get 783730cfbc0SXuan Hu 784853cd2d8SHaojin Tang memIO.loadFastMatch := 0.U.asTypeOf(memIO.loadFastMatch) // TODO: is still needed? 785853cd2d8SHaojin Tang 786730cfbc0SXuan Hu for (i <- io.enq.indices) { 7871548ca99SHaojin Tang val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 7881548ca99SHaojin Tang val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 7891548ca99SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).valid && 7901548ca99SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).bits.value === io.enq(i).bits.waitForRobIdx.value 7911548ca99SHaojin Tang })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 7921548ca99SHaojin Tang s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 793c379dcbeSZiyue-Zhang // when have vpu 794c379dcbeSZiyue-Zhang if (params.VlduCnt > 0 || params.VstuCnt > 0) { 795c379dcbeSZiyue-Zhang s0_enqBits(i).srcType(3) := SrcType.vp // v0: mask src 796c379dcbeSZiyue-Zhang s0_enqBits(i).srcType(4) := SrcType.vp // vl&vtype 797c379dcbeSZiyue-Zhang } 798730cfbc0SXuan Hu } 799730cfbc0SXuan Hu 8005db4956bSzhanglyGit for (i <- entries.io.enq.indices) { 8015db4956bSzhanglyGit entries.io.enq(i).bits.status match { case enqData => 802de784418SXuan Hu enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 803730cfbc0SXuan Hu enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 804730cfbc0SXuan Hu enqData.mem.get.waitForStd := false.B 805730cfbc0SXuan Hu enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 806730cfbc0SXuan Hu enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 807730cfbc0SXuan Hu enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 808730cfbc0SXuan Hu } 809730cfbc0SXuan Hu 8105db4956bSzhanglyGit entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 811730cfbc0SXuan Hu slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 8125db4956bSzhanglyGit slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 813887f9c3dSzhanglinjuan slowResp.bits.uopIdx := DontCare 814d54d930bSfdy slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 815730cfbc0SXuan Hu slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 8168d29ec32Sczw slowResp.bits.rfWen := DontCare 8178d29ec32Sczw slowResp.bits.fuType := DontCare 818730cfbc0SXuan Hu } 819730cfbc0SXuan Hu 8205db4956bSzhanglyGit entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 821730cfbc0SXuan Hu fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 8225db4956bSzhanglyGit fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 823887f9c3dSzhanglinjuan fastResp.bits.uopIdx := DontCare 82443965d02SHaojin Tang fastResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackFast.bits.hit, RSFeedbackType.fuIdle, memIO.feedbackIO(i).feedbackFast.bits.sourceType) 825730cfbc0SXuan Hu fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 8268d29ec32Sczw fastResp.bits.rfWen := DontCare 8278d29ec32Sczw fastResp.bits.fuType := DontCare 828730cfbc0SXuan Hu } 829730cfbc0SXuan Hu 8305db4956bSzhanglyGit entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 8315db4956bSzhanglyGit entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 832730cfbc0SXuan Hu } 833730cfbc0SXuan Hu 834fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 8351548ca99SHaojin Tang deq.bits.common.loadWaitBit.foreach(_ := deqEntryVec(i).bits.payload.loadWaitBit) 8361548ca99SHaojin Tang deq.bits.common.waitForRobIdx.foreach(_ := deqEntryVec(i).bits.payload.waitForRobIdx) 83759a1db8aSHaojin Tang deq.bits.common.storeSetHit.foreach(_ := deqEntryVec(i).bits.payload.storeSetHit) 83859a1db8aSHaojin Tang deq.bits.common.loadWaitStrict.foreach(_ := deqEntryVec(i).bits.payload.loadWaitStrict) 83959a1db8aSHaojin Tang deq.bits.common.ssid.foreach(_ := deqEntryVec(i).bits.payload.ssid) 8405db4956bSzhanglyGit deq.bits.common.sqIdx.get := deqEntryVec(i).bits.payload.sqIdx 8415db4956bSzhanglyGit deq.bits.common.lqIdx.get := deqEntryVec(i).bits.payload.lqIdx 842542ae917SHaojin Tang deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 843542ae917SHaojin Tang deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 844c379dcbeSZiyue-Zhang // when have vpu 845c379dcbeSZiyue-Zhang if (params.VlduCnt > 0 || params.VstuCnt > 0) { 846c379dcbeSZiyue-Zhang deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 847c379dcbeSZiyue-Zhang deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 848c379dcbeSZiyue-Zhang } 849730cfbc0SXuan Hu } 850730cfbc0SXuan Hu} 8512d270511Ssinsanction 8522d270511Ssinsanctionclass IssueQueueVecMemImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 8532d270511Ssinsanction extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 8542d270511Ssinsanction 8552d270511Ssinsanction require((params.VstdCnt + params.VlduCnt + params.VstaCnt) > 0, "IssueQueueVecMemImp can only be instance of VecMem IQ") 8562d270511Ssinsanction 8572d270511Ssinsanction io.suggestName("none") 8582d270511Ssinsanction override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 8592d270511Ssinsanction private val memIO = io.memIO.get 8602d270511Ssinsanction 8612d270511Ssinsanction def selectOldUop(robIdx: Seq[RobPtr], uopIdx: Seq[UInt], valid: Seq[Bool]): Vec[Bool] = { 8622d270511Ssinsanction val compareVec = (0 until robIdx.length).map(i => (0 until i).map(j => isAfter(robIdx(j), robIdx(i)) || (robIdx(j).value === robIdx(i).value && uopIdx(i) < uopIdx(j)))) 8632d270511Ssinsanction val resultOnehot = VecInit((0 until robIdx.length).map(i => Cat((0 until robIdx.length).map(j => 8642d270511Ssinsanction (if (j < i) !valid(j) || compareVec(i)(j) 8652d270511Ssinsanction else if (j == i) valid(i) 8662d270511Ssinsanction else !valid(j) || !compareVec(j)(i)) 8672d270511Ssinsanction )).andR)) 8682d270511Ssinsanction resultOnehot 8692d270511Ssinsanction } 8702d270511Ssinsanction 8712d270511Ssinsanction val robIdxVec = entries.io.robIdx.get 8722d270511Ssinsanction val uopIdxVec = entries.io.uopIdx.get 8732d270511Ssinsanction val allEntryOldestOH = selectOldUop(robIdxVec, uopIdxVec, validVec) 8742d270511Ssinsanction 8752d270511Ssinsanction finalDeqSelValidVec.head := (allEntryOldestOH.asUInt & canIssueVec.asUInt).orR 8762d270511Ssinsanction finalDeqSelOHVec.head := allEntryOldestOH.asUInt & canIssueVec.asUInt 8772d270511Ssinsanction 8782d270511Ssinsanction if (params.isVecMemAddrIQ) { 8792d270511Ssinsanction s0_enqBits.foreach{ x => 8802d270511Ssinsanction x.srcType(3) := SrcType.vp // v0: mask src 8812d270511Ssinsanction x.srcType(4) := SrcType.vp // vl&vtype 8822d270511Ssinsanction } 8832d270511Ssinsanction 8842d270511Ssinsanction for (i <- io.enq.indices) { 8851f3d1b4dSXuan Hu s0_enqBits(i).loadWaitBit := false.B 8862d270511Ssinsanction } 8872d270511Ssinsanction 8882d270511Ssinsanction for (i <- entries.io.enq.indices) { 8892d270511Ssinsanction entries.io.enq(i).bits.status match { case enqData => 8902d270511Ssinsanction enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 8912d270511Ssinsanction enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 8922d270511Ssinsanction enqData.mem.get.waitForStd := false.B 8932d270511Ssinsanction enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 8942d270511Ssinsanction enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 8952d270511Ssinsanction enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 8962d270511Ssinsanction } 8972d270511Ssinsanction 8982d270511Ssinsanction entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 8992d270511Ssinsanction slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 9002d270511Ssinsanction slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 901887f9c3dSzhanglinjuan slowResp.bits.uopIdx := DontCare 9022d270511Ssinsanction slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 9032d270511Ssinsanction slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 9042d270511Ssinsanction slowResp.bits.rfWen := DontCare 9052d270511Ssinsanction slowResp.bits.fuType := DontCare 9062d270511Ssinsanction } 9072d270511Ssinsanction 9082d270511Ssinsanction entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 9092d270511Ssinsanction fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 9102d270511Ssinsanction fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 911887f9c3dSzhanglinjuan fastResp.bits.uopIdx := DontCare 9122d270511Ssinsanction fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 9132d270511Ssinsanction fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 9142d270511Ssinsanction fastResp.bits.rfWen := DontCare 9152d270511Ssinsanction fastResp.bits.fuType := DontCare 9162d270511Ssinsanction } 9172d270511Ssinsanction 9182d270511Ssinsanction entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 9192d270511Ssinsanction entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 9202d270511Ssinsanction } 9212d270511Ssinsanction } 9222d270511Ssinsanction 9232d270511Ssinsanction for (i <- entries.io.enq.indices) { 9242d270511Ssinsanction entries.io.enq(i).bits.status match { case enqData => 9252d270511Ssinsanction enqData.vecMem.get.sqIdx := s0_enqBits(i).sqIdx 9262d270511Ssinsanction enqData.vecMem.get.lqIdx := s0_enqBits(i).lqIdx 9272d270511Ssinsanction } 9282d270511Ssinsanction } 9292d270511Ssinsanction 9302d270511Ssinsanction entries.io.fromLsq.get.sqDeqPtr := memIO.sqDeqPtr.get 9312d270511Ssinsanction entries.io.fromLsq.get.lqDeqPtr := memIO.lqDeqPtr.get 9322d270511Ssinsanction 933fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 9342d270511Ssinsanction deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 9352d270511Ssinsanction deq.bits.common.lqIdx.foreach(_ := deqEntryVec(i).bits.payload.lqIdx) 9362d270511Ssinsanction if (params.isVecLdAddrIQ) { 9372d270511Ssinsanction deq.bits.common.ftqIdx.get := deqEntryVec(i).bits.payload.ftqPtr 9382d270511Ssinsanction deq.bits.common.ftqOffset.get := deqEntryVec(i).bits.payload.ftqOffset 9392d270511Ssinsanction } 9402d270511Ssinsanction deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 9412d270511Ssinsanction deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 9422d270511Ssinsanction deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 9432d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 9442d270511Ssinsanction } 9452d270511Ssinsanction} 946