1730cfbc0SXuan Hupackage xiangshan.backend.issue 2730cfbc0SXuan Hu 383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 796e858baSXuan Huimport utility.{GTimer, HasCircularQueuePtrHelper} 8765e58c6Ssinsanctionimport utils._ 9730cfbc0SXuan Huimport xiangshan._ 10c0be7f33SXuan Huimport xiangshan.backend.Bundles._ 11f4dcd9fcSsinsanctionimport xiangshan.backend.decode.{ImmUnion, Imm_LUI_LOAD} 12730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 13c0be7f33SXuan Huimport xiangshan.backend.datapath.DataSource 148e208fb5SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType} 158e208fb5SXuan Huimport xiangshan.mem.{MemWaitUpdateReq, SqPtr} 1659ef6009Sxiaofeibao-xjtuimport xiangshan.backend.datapath.NewPipelineConnect 17730cfbc0SXuan Hu 18730cfbc0SXuan Huclass IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 19*1ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 20*1ca4a39dSXuan Hu 21730cfbc0SXuan Hu implicit val iqParams = params 2283ba63b3SXuan Hu lazy val module: IssueQueueImp = iqParams.schdType match { 23730cfbc0SXuan Hu case IntScheduler() => new IssueQueueIntImp(this) 24730cfbc0SXuan Hu case VfScheduler() => new IssueQueueVfImp(this) 25730cfbc0SXuan Hu case MemScheduler() => if (iqParams.StdCnt == 0) new IssueQueueMemAddrImp(this) 26730cfbc0SXuan Hu else new IssueQueueIntImp(this) 27730cfbc0SXuan Hu case _ => null 28730cfbc0SXuan Hu } 29730cfbc0SXuan Hu} 30730cfbc0SXuan Hu 31730cfbc0SXuan Huclass IssueQueueStatusBundle(numEnq: Int) extends Bundle { 32730cfbc0SXuan Hu val empty = Output(Bool()) 33730cfbc0SXuan Hu val full = Output(Bool()) 34730cfbc0SXuan Hu val leftVec = Output(Vec(numEnq + 1, Bool())) 35730cfbc0SXuan Hu} 36730cfbc0SXuan Hu 375db4956bSzhanglyGitclass IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends EntryDeqRespBundle 38730cfbc0SXuan Hu 39730cfbc0SXuan Huclass IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 40bf35baadSXuan Hu // Inputs 41730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 42730cfbc0SXuan Hu val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 43730cfbc0SXuan Hu 44730cfbc0SXuan Hu val deqResp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 45730cfbc0SXuan Hu val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 46730cfbc0SXuan Hu val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 470f55a0d3SHaojin Tang val finalIssueResp = OptionWrapper(params.LduCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 482e0a7dc5Sfdy val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 49dd970561SzhanglyGit val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 50c0be7f33SXuan Hu val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 51c0be7f33SXuan Hu val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 52ea46c302SXuan Hu val og0Cancel = Input(ExuVec(backendParams.numExu)) 53ea46c302SXuan Hu val og1Cancel = Input(ExuVec(backendParams.numExu)) 540f55a0d3SHaojin Tang val ldCancel = Vec(backendParams.LduCnt, Flipped(new LoadCancelIO)) 55bf35baadSXuan Hu 56bf35baadSXuan Hu // Outputs 57bf35baadSXuan Hu val deq: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle 58c0be7f33SXuan Hu val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 59730cfbc0SXuan Hu val status = Output(new IssueQueueStatusBundle(params.numEnq)) 6014b3c65cSHaojin Tang // val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 61bf35baadSXuan Hu 6259ef6009Sxiaofeibao-xjtu val fromCancelNetwork = Flipped(params.genIssueDecoupledBundle) 6359ef6009Sxiaofeibao-xjtu val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType 64bf35baadSXuan Hu def allWakeUp = wakeupFromWB ++ wakeupFromIQ 65730cfbc0SXuan Hu} 66730cfbc0SXuan Hu 67730cfbc0SXuan Huclass IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 68730cfbc0SXuan Hu extends LazyModuleImp(wrapper) 69730cfbc0SXuan Hu with HasXSParameter { 70730cfbc0SXuan Hu 71c0be7f33SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 72e63b0a03SXuan Hu s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 73e63b0a03SXuan Hu s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " + 74730cfbc0SXuan Hu s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 75730cfbc0SXuan Hu 76730cfbc0SXuan Hu require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 77730cfbc0SXuan Hu val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 78730cfbc0SXuan Hu val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 79730cfbc0SXuan Hu val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 80730cfbc0SXuan Hu val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 81239413e5SXuan Hu val fuLatencyMaps : Seq[Map[FuType.OHType, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 828e208fb5SXuan Hu 838e208fb5SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}") 84730cfbc0SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 85730cfbc0SXuan Hu lazy val io = IO(new IssueQueueIO()) 86730cfbc0SXuan Hu dontTouch(io.deq) 87730cfbc0SXuan Hu dontTouch(io.deqResp) 88730cfbc0SXuan Hu // Modules 895db4956bSzhanglyGit 905db4956bSzhanglyGit val entries = Module(new Entries) 91730cfbc0SXuan Hu val subDeqPolicies = deqFuCfgs.map(x => if (x.nonEmpty) Some(Module(new DeqPolicy())) else None) 92dd970561SzhanglyGit val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 93dd970561SzhanglyGit val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 94dd970561SzhanglyGit val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 95dd970561SzhanglyGit val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 96dd970561SzhanglyGit val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 97dd970561SzhanglyGit val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 98730cfbc0SXuan Hu 99493a9370SHaojin Tang class WakeupQueueFlush extends Bundle { 100493a9370SHaojin Tang val redirect = ValidIO(new Redirect) 1010f55a0d3SHaojin Tang val ldCancel = Vec(backendParams.LduCnt, new LoadCancelIO) 102493a9370SHaojin Tang val og0Fail = Output(Bool()) 103493a9370SHaojin Tang val og1Fail = Output(Bool()) 104493a9370SHaojin Tang } 105493a9370SHaojin Tang 106493a9370SHaojin Tang private def flushFunc(exuInput: ExuInput, flush: WakeupQueueFlush, stage: Int): Bool = { 107493a9370SHaojin Tang val redirectFlush = exuInput.robIdx.needFlush(flush.redirect) 1080f55a0d3SHaojin Tang val loadDependencyFlush = LoadShouldCancel(exuInput.loadDependency, flush.ldCancel) 109493a9370SHaojin Tang val ogFailFlush = stage match { 110493a9370SHaojin Tang case 1 => flush.og0Fail 111493a9370SHaojin Tang case 2 => flush.og1Fail 112493a9370SHaojin Tang case _ => false.B 113493a9370SHaojin Tang } 1140f55a0d3SHaojin Tang redirectFlush || loadDependencyFlush || ogFailFlush 1150f55a0d3SHaojin Tang } 1160f55a0d3SHaojin Tang 1170f55a0d3SHaojin Tang private def modificationFunc(exuInput: ExuInput): ExuInput = { 1180f55a0d3SHaojin Tang val newExuInput = WireDefault(exuInput) 1190f55a0d3SHaojin Tang newExuInput.loadDependency match { 1200f55a0d3SHaojin Tang case Some(deps) => deps.zip(exuInput.loadDependency.get).foreach(x => x._1 := x._2 << 1) 1210f55a0d3SHaojin Tang case None => 1220f55a0d3SHaojin Tang } 1230f55a0d3SHaojin Tang newExuInput 124493a9370SHaojin Tang } 125493a9370SHaojin Tang 126493a9370SHaojin Tang val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, WakeupQueueFlush]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module( 1270f55a0d3SHaojin Tang new MultiWakeupQueue(new ExuInput(x), new WakeupQueueFlush, x.fuLatancySet, flushFunc, modificationFunc) 128bf35baadSXuan Hu ))} 129bf35baadSXuan Hu 130dd970561SzhanglyGit val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 131dd970561SzhanglyGit val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 132dd970561SzhanglyGit val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 133dd970561SzhanglyGit val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 134dd970561SzhanglyGit val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 135dd970561SzhanglyGit val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 136ea0f92d8Sczw val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 137de93b508SzhanglyGit val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 138de93b508SzhanglyGit val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 139730cfbc0SXuan Hu val s0_enqValidVec = io.enq.map(_.valid) 140730cfbc0SXuan Hu val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 141730cfbc0SXuan Hu val s0_enqNotFlush = !io.flush.valid 142730cfbc0SXuan Hu val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 1435db4956bSzhanglyGit val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) //enqValid && notFlush && enqReady 144730cfbc0SXuan Hu 145730cfbc0SXuan Hu 146730cfbc0SXuan Hu // One deq port only need one special deq policy 147730cfbc0SXuan Hu val subDeqSelValidVec: Seq[Option[Vec[Bool]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, Bool())))) 148730cfbc0SXuan Hu val subDeqSelOHVec: Seq[Option[Vec[UInt]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, UInt(params.numEntries.W))))) 149730cfbc0SXuan Hu 150730cfbc0SXuan Hu val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 151730cfbc0SXuan Hu val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 152730cfbc0SXuan Hu val finalDeqOH: IndexedSeq[UInt] = (finalDeqSelValidVec zip finalDeqSelOHVec).map { case (valid, oh) => 153730cfbc0SXuan Hu Mux(valid, oh, 0.U) 154730cfbc0SXuan Hu } 155730cfbc0SXuan Hu val finalDeqMask: UInt = finalDeqOH.reduce(_ | _) 156730cfbc0SXuan Hu 157730cfbc0SXuan Hu val deqRespVec = io.deqResp 158730cfbc0SXuan Hu 1595db4956bSzhanglyGit val validVec = VecInit(entries.io.valid.asBools) 1605db4956bSzhanglyGit val canIssueVec = VecInit(entries.io.canIssue.asBools) 1615db4956bSzhanglyGit val clearVec = VecInit(entries.io.clear.asBools) 1625db4956bSzhanglyGit val deqFirstIssueVec = VecInit(entries.io.deq.map(_.isFirstIssue)) 163730cfbc0SXuan Hu 1645db4956bSzhanglyGit val dataSources: Vec[Vec[DataSource]] = entries.io.dataSources 165c0be7f33SXuan Hu val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqOH.map(oh => Mux1H(oh, dataSources))) 166c0be7f33SXuan Hu // (entryIdx)(srcIdx)(exuIdx) 1675db4956bSzhanglyGit val wakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = entries.io.srcWakeUpL1ExuOH 1685db4956bSzhanglyGit val srcTimer: Option[Vec[Vec[UInt]]] = entries.io.srcTimer 169c0be7f33SXuan Hu 170c0be7f33SXuan Hu // (deqIdx)(srcIdx)(exuIdx) 171c0be7f33SXuan Hu val finalWakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 172ea46c302SXuan Hu val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 173cdac04a3SXuan Hu 1745db4956bSzhanglyGit val wakeupEnqSrcStateBypassFromWB: Vec[Vec[UInt]] = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 1750f55a0d3SHaojin Tang val wakeupEnqSrcStateBypassFromIQ: Vec[Vec[UInt]] = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 1760f55a0d3SHaojin Tang val srcWakeUpEnqByIQMatrix = Wire(Vec(params.numEnq, Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())))) 1770f55a0d3SHaojin Tang 1780f55a0d3SHaojin Tang val shiftedWakeupLoadDependencyByIQVec = Wire(Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W)))) 1790f55a0d3SHaojin Tang shiftedWakeupLoadDependencyByIQVec 1800f55a0d3SHaojin Tang .zip(io.wakeupFromIQ.map(_.bits.loadDependency)) 1810f55a0d3SHaojin Tang .zip(params.wakeUpInExuSources.map(_.name)).foreach { 1820f55a0d3SHaojin Tang case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 1830f55a0d3SHaojin Tang case ((dep, originalDep), deqPortIdx) => 1840f55a0d3SHaojin Tang if (name.contains("LDU") && name.replace("LDU", "").toInt == deqPortIdx) 18583ba63b3SXuan Hu dep := (originalDep << 1).asUInt | 1.U 1860f55a0d3SHaojin Tang else 1870f55a0d3SHaojin Tang dep := originalDep << 1 1880f55a0d3SHaojin Tang } 1890f55a0d3SHaojin Tang } 1900f55a0d3SHaojin Tang 191730cfbc0SXuan Hu for (i <- io.enq.indices) { 192730cfbc0SXuan Hu for (j <- s0_enqBits(i).srcType.indices) { 19359ef6009Sxiaofeibao-xjtu wakeupEnqSrcStateBypassFromWB(i)(j) := Cat( 19483ba63b3SXuan Hu io.wakeupFromWB.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head).toSeq 195730cfbc0SXuan Hu ).orR 196730cfbc0SXuan Hu } 197730cfbc0SXuan Hu } 1985db4956bSzhanglyGit 19959ef6009Sxiaofeibao-xjtu for (i <- io.enq.indices) { 2000f55a0d3SHaojin Tang val numLsrc = s0_enqBits(i).srcType.size.min(entries.io.enq(i).bits.status.srcType.size) 20159ef6009Sxiaofeibao-xjtu for (j <- s0_enqBits(i).srcType.indices) { 2020f55a0d3SHaojin Tang val ldTransCancel = if (params.numWakeupFromIQ > 0 && j < numLsrc) Mux( 2030f55a0d3SHaojin Tang srcWakeUpEnqByIQMatrix(i)(j).asUInt.orR, 20483ba63b3SXuan Hu Mux1H(srcWakeUpEnqByIQMatrix(i)(j), io.wakeupFromIQ.map(_.bits.loadDependency).map(dep => LoadShouldCancel(Some(dep), io.ldCancel)).toSeq), 2050f55a0d3SHaojin Tang false.B 2060f55a0d3SHaojin Tang ) else false.B 20759ef6009Sxiaofeibao-xjtu wakeupEnqSrcStateBypassFromIQ(i)(j) := Cat( 20883ba63b3SXuan Hu io.wakeupFromIQ.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head).toSeq 2090f55a0d3SHaojin Tang ).orR && !ldTransCancel 21059ef6009Sxiaofeibao-xjtu } 21159ef6009Sxiaofeibao-xjtu } 2120f55a0d3SHaojin Tang 21359ef6009Sxiaofeibao-xjtu srcWakeUpEnqByIQMatrix.zipWithIndex.foreach { case (wakeups: Vec[Vec[Bool]], i) => 21459ef6009Sxiaofeibao-xjtu if (io.wakeupFromIQ.isEmpty) { 21559ef6009Sxiaofeibao-xjtu wakeups := 0.U.asTypeOf(wakeups) 21659ef6009Sxiaofeibao-xjtu } else { 21759ef6009Sxiaofeibao-xjtu val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = io.wakeupFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 21859ef6009Sxiaofeibao-xjtu bundle.bits.wakeUp(s0_enqBits(i).psrc.take(params.numRegSrc) zip s0_enqBits(i).srcType.take(params.numRegSrc), bundle.valid) 21983ba63b3SXuan Hu ).toIndexedSeq.transpose 22059ef6009Sxiaofeibao-xjtu wakeups := wakeupVec.map(x => VecInit(x)) 22159ef6009Sxiaofeibao-xjtu } 22259ef6009Sxiaofeibao-xjtu } 223730cfbc0SXuan Hu 2245db4956bSzhanglyGit val fuTypeVec = Wire(Vec(params.numEntries, FuType())) 2255db4956bSzhanglyGit val transEntryDeqVec = Wire(Vec(params.numEnq, ValidIO(new EntryBundle))) 2265db4956bSzhanglyGit val deqEntryVec = Wire(Vec(params.numDeq, ValidIO(new EntryBundle))) 2275db4956bSzhanglyGit val transSelVec = Wire(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W))) 2285db4956bSzhanglyGit 229bf35baadSXuan Hu /** 2305db4956bSzhanglyGit * Connection of [[entries]] 231bf35baadSXuan Hu */ 2325db4956bSzhanglyGit entries.io match { case entriesIO: EntriesIO => 2335db4956bSzhanglyGit entriesIO.flush <> io.flush 2345db4956bSzhanglyGit entriesIO.wakeUpFromWB := io.wakeupFromWB 2355db4956bSzhanglyGit entriesIO.wakeUpFromIQ := io.wakeupFromIQ 2365db4956bSzhanglyGit entriesIO.og0Cancel := io.og0Cancel 2375db4956bSzhanglyGit entriesIO.og1Cancel := io.og1Cancel 2380f55a0d3SHaojin Tang entriesIO.ldCancel := io.ldCancel 2395db4956bSzhanglyGit entriesIO.enq.zipWithIndex.foreach { case (enq: ValidIO[EntryBundle], i) => 240730cfbc0SXuan Hu enq.valid := s0_doEnqSelValidVec(i) 2415db4956bSzhanglyGit val numLsrc = s0_enqBits(i).srcType.size.min(enq.bits.status.srcType.size) 2425db4956bSzhanglyGit for(j <- 0 until numLsrc) { 2435db4956bSzhanglyGit enq.bits.status.srcState(j) := s0_enqBits(i).srcState(j) | 2445db4956bSzhanglyGit wakeupEnqSrcStateBypassFromWB(i)(j) | 2455db4956bSzhanglyGit wakeupEnqSrcStateBypassFromIQ(i)(j) 2465db4956bSzhanglyGit enq.bits.status.psrc(j) := s0_enqBits(i).psrc(j) 2475db4956bSzhanglyGit enq.bits.status.srcType(j) := s0_enqBits(i).srcType(j) 248bc7d6943SzhanglyGit enq.bits.status.dataSources(j).value := Mux(wakeupEnqSrcStateBypassFromIQ(i)(j).asBool, DataSource.forward, s0_enqBits(i).dataSource(j).value) 24996e858baSXuan Hu enq.bits.payload.debugInfo.enqRsTime := GTimer() 250730cfbc0SXuan Hu } 2515db4956bSzhanglyGit enq.bits.status.fuType := s0_enqBits(i).fuType 2525db4956bSzhanglyGit enq.bits.status.robIdx := s0_enqBits(i).robIdx 2535db4956bSzhanglyGit enq.bits.status.issueTimer := "b11".U 2545db4956bSzhanglyGit enq.bits.status.deqPortIdx := 0.U 2555db4956bSzhanglyGit enq.bits.status.issued := false.B 2565db4956bSzhanglyGit enq.bits.status.firstIssue := false.B 2575db4956bSzhanglyGit enq.bits.status.blocked := false.B 2585db4956bSzhanglyGit enq.bits.status.srcWakeUpL1ExuOH match { 2595db4956bSzhanglyGit case Some(value) => value.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 26059ef6009Sxiaofeibao-xjtu case ((exuOH, wakeUpByIQOH), srcIdx) => 26159ef6009Sxiaofeibao-xjtu when(wakeUpByIQOH.asUInt.orR) { 26283ba63b3SXuan Hu exuOH := Mux1H(wakeUpByIQOH, io.wakeupFromIQ.map(x => MathUtils.IntToOH(x.bits.exuIdx).U(backendParams.numExu.W)).toSeq).asBools 26359ef6009Sxiaofeibao-xjtu }.otherwise { 264bc7d6943SzhanglyGit exuOH := s0_enqBits(i).l1ExuOH(srcIdx) 26559ef6009Sxiaofeibao-xjtu } 26659ef6009Sxiaofeibao-xjtu } 267c0be7f33SXuan Hu case None => 268c0be7f33SXuan Hu } 2695db4956bSzhanglyGit enq.bits.status.srcTimer match { 2705db4956bSzhanglyGit case Some(value) => value.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 27159ef6009Sxiaofeibao-xjtu case ((timer, wakeUpByIQOH), srcIdx) => 27259ef6009Sxiaofeibao-xjtu when(wakeUpByIQOH.asUInt.orR) { 27359ef6009Sxiaofeibao-xjtu timer := 1.U.asTypeOf(timer) 27459ef6009Sxiaofeibao-xjtu }.otherwise { 275bc7d6943SzhanglyGit timer := Mux(s0_enqBits(i).dataSource(srcIdx).value === DataSource.bypass, 2.U.asTypeOf(timer), 0.U.asTypeOf(timer)) 27659ef6009Sxiaofeibao-xjtu } 27759ef6009Sxiaofeibao-xjtu } 278cdac04a3SXuan Hu case None => 279cdac04a3SXuan Hu } 2800f55a0d3SHaojin Tang enq.bits.status.srcLoadDependency.foreach(_.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 2810f55a0d3SHaojin Tang case ((dep, wakeUpByIQOH), srcIdx) => 2820f55a0d3SHaojin Tang dep := Mux(wakeUpByIQOH.asUInt.orR, Mux1H(wakeUpByIQOH, shiftedWakeupLoadDependencyByIQVec), 0.U.asTypeOf(dep)) 2830f55a0d3SHaojin Tang }) 2845db4956bSzhanglyGit enq.bits.imm := s0_enqBits(i).imm 2855db4956bSzhanglyGit enq.bits.payload := s0_enqBits(i) 286730cfbc0SXuan Hu } 2875db4956bSzhanglyGit entriesIO.deq.zipWithIndex.foreach { case (deq, i) => 288730cfbc0SXuan Hu deq.deqSelOH.valid := finalDeqSelValidVec(i) 289730cfbc0SXuan Hu deq.deqSelOH.bits := finalDeqSelOHVec(i) 290730cfbc0SXuan Hu } 2915db4956bSzhanglyGit entriesIO.deqResp.zipWithIndex.foreach { case (deqResp, i) => 292730cfbc0SXuan Hu deqResp.valid := io.deqResp(i).valid 2935db4956bSzhanglyGit deqResp.bits.robIdx := io.deqResp(i).bits.robIdx 294730cfbc0SXuan Hu deqResp.bits.dataInvalidSqIdx := io.deqResp(i).bits.dataInvalidSqIdx 295730cfbc0SXuan Hu deqResp.bits.respType := io.deqResp(i).bits.respType 2968d29ec32Sczw deqResp.bits.rfWen := io.deqResp(i).bits.rfWen 2978d29ec32Sczw deqResp.bits.fuType := io.deqResp(i).bits.fuType 298730cfbc0SXuan Hu } 2995db4956bSzhanglyGit entriesIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 300730cfbc0SXuan Hu og0Resp.valid := io.og0Resp(i).valid 3015db4956bSzhanglyGit og0Resp.bits.robIdx := io.og0Resp(i).bits.robIdx 302730cfbc0SXuan Hu og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 303730cfbc0SXuan Hu og0Resp.bits.respType := io.og0Resp(i).bits.respType 3048d29ec32Sczw og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 3058d29ec32Sczw og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 306730cfbc0SXuan Hu } 3075db4956bSzhanglyGit entriesIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 308730cfbc0SXuan Hu og1Resp.valid := io.og1Resp(i).valid 3095db4956bSzhanglyGit og1Resp.bits.robIdx := io.og1Resp(i).bits.robIdx 310730cfbc0SXuan Hu og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 311730cfbc0SXuan Hu og1Resp.bits.respType := io.og1Resp(i).bits.respType 3128d29ec32Sczw og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 3138d29ec32Sczw og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 314730cfbc0SXuan Hu } 3150f55a0d3SHaojin Tang entriesIO.finalIssueResp.foreach(_.zipWithIndex.foreach { case (finalIssueResp, i) => 3160f55a0d3SHaojin Tang finalIssueResp := io.finalIssueResp.get(i) 3170f55a0d3SHaojin Tang }) 3185db4956bSzhanglyGit transEntryDeqVec := entriesIO.transEntryDeqVec 3195db4956bSzhanglyGit deqEntryVec := entriesIO.deqEntry 3205db4956bSzhanglyGit fuTypeVec := entriesIO.fuType 3215db4956bSzhanglyGit transSelVec := entriesIO.transSelVec 322730cfbc0SXuan Hu } 323730cfbc0SXuan Hu 324730cfbc0SXuan Hu 3255db4956bSzhanglyGit s0_enqSelValidVec := s0_enqValidVec.zip(io.enq).map{ case (enqValid, enq) => enqValid && enq.ready} 326730cfbc0SXuan Hu 3275db4956bSzhanglyGit protected val commonAccept: UInt = Cat(fuTypeVec.map(fuType => 328730cfbc0SXuan Hu Cat(commonFuCfgs.map(_.fuType.U === fuType)).orR 329730cfbc0SXuan Hu ).reverse) 330730cfbc0SXuan Hu 331730cfbc0SXuan Hu // if deq port can accept the uop 332730cfbc0SXuan Hu protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3335db4956bSzhanglyGit Cat(fuTypeVec.map(fuType => Cat(fuCfgs.map(_.fuType.U === fuType)).orR).reverse).asUInt 334730cfbc0SXuan Hu } 335730cfbc0SXuan Hu 336730cfbc0SXuan Hu protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3375db4956bSzhanglyGit fuTypeVec.map(fuType => 338730cfbc0SXuan Hu Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 339730cfbc0SXuan Hu } 340730cfbc0SXuan Hu 3415db4956bSzhanglyGit subDeqPolicies.zipWithIndex.foreach { case (dpOption: Option[DeqPolicy], i) => 342730cfbc0SXuan Hu if (dpOption.nonEmpty) { 343730cfbc0SXuan Hu val dp = dpOption.get 344de93b508SzhanglyGit dp.io.request := canIssueVec.asUInt & VecInit(deqCanAcceptVec(i)).asUInt & (~fuBusyTableMask(i)).asUInt & (~intWbBusyTableMask(i)).asUInt & (~vfWbBusyTableMask(i)).asUInt 345730cfbc0SXuan Hu subDeqSelValidVec(i).get := dp.io.deqSelOHVec.map(oh => oh.valid) 346730cfbc0SXuan Hu subDeqSelOHVec(i).get := dp.io.deqSelOHVec.map(oh => oh.bits) 347730cfbc0SXuan Hu } 348730cfbc0SXuan Hu } 349730cfbc0SXuan Hu 3508db72c71Sfdy protected val enqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3518db72c71Sfdy io.enq.map(_.bits.fuType).map(fuType => 3528db72c71Sfdy Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 3538db72c71Sfdy } 3548db72c71Sfdy 3555db4956bSzhanglyGit protected val transCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3565db4956bSzhanglyGit transEntryDeqVec.map(_.bits.status.fuType).zip(transEntryDeqVec.map(_.valid)).map{ case (fuType, valid) => 3575db4956bSzhanglyGit Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR && valid } 3588db72c71Sfdy } 3598db72c71Sfdy 3605db4956bSzhanglyGit val enqEntryOldest = (0 until params.numDeq).map { 3618db72c71Sfdy case deqIdx => 3625db4956bSzhanglyGit NewAgeDetector(numEntries = params.numEnq, 3635db4956bSzhanglyGit enq = VecInit(enqCanAcceptVec(deqIdx).zip(s0_doEnqSelValidVec).map{ case (doCanAccept, valid) => doCanAccept && valid }), 3645db4956bSzhanglyGit clear = VecInit(clearVec.take(params.numEnq)), 3655db4956bSzhanglyGit canIssue = VecInit(canIssueVec.take(params.numEnq)).asUInt & ((~fuBusyTableMask(deqIdx)).asUInt & (~intWbBusyTableMask(deqIdx)).asUInt & (~vfWbBusyTableMask(deqIdx)).asUInt)(params.numEnq-1, 0) 3665db4956bSzhanglyGit ) 3678db72c71Sfdy } 3688db72c71Sfdy 3695db4956bSzhanglyGit val othersEntryOldest = (0 until params.numDeq).map { 3705db4956bSzhanglyGit case deqIdx => 3715db4956bSzhanglyGit AgeDetector(numEntries = params.numEntries - params.numEnq, 3725db4956bSzhanglyGit enq = VecInit(transCanAcceptVec(deqIdx).zip(transSelVec).map{ case(doCanAccept, transSel) => Mux(doCanAccept, transSel, 0.U)}), 3735db4956bSzhanglyGit deq = VecInit(clearVec.drop(params.numEnq)).asUInt, 3745db4956bSzhanglyGit canIssue = VecInit(canIssueVec.drop(params.numEnq)).asUInt & ((~fuBusyTableMask(deqIdx)).asUInt & (~intWbBusyTableMask(deqIdx)).asUInt & (~vfWbBusyTableMask(deqIdx)).asUInt)(params.numEntries-1, params.numEnq) 3755db4956bSzhanglyGit ) 3765db4956bSzhanglyGit } 3775db4956bSzhanglyGit 3785db4956bSzhanglyGit finalDeqSelValidVec.head := othersEntryOldest.head.valid || enqEntryOldest.head.valid || subDeqSelValidVec.head.getOrElse(Seq(false.B)).head 3795db4956bSzhanglyGit finalDeqSelOHVec.head := Mux(othersEntryOldest.head.valid, Cat(othersEntryOldest.head.bits, 0.U((params.numEnq).W)), 3805db4956bSzhanglyGit Mux(enqEntryOldest.head.valid, Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldest.head.bits), 3815db4956bSzhanglyGit subDeqSelOHVec.head.getOrElse(Seq(0.U)).head)) 3828db72c71Sfdy 383730cfbc0SXuan Hu if (params.numDeq == 2) { 3845db4956bSzhanglyGit val chooseOthersOldest = othersEntryOldest(1).valid && Cat(othersEntryOldest(1).bits, 0.U((params.numEnq).W)) =/= finalDeqSelOHVec.head 3855db4956bSzhanglyGit val chooseEnqOldest = enqEntryOldest(1).valid && Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldest(1).bits) =/= finalDeqSelOHVec.head 3868db72c71Sfdy val choose1stSub = subDeqSelOHVec(1).getOrElse(Seq(0.U)).head =/= finalDeqSelOHVec.head 3878db72c71Sfdy 3888db72c71Sfdy finalDeqSelValidVec(1) := MuxCase(subDeqSelValidVec(1).getOrElse(Seq(false.B)).last, Seq( 3895db4956bSzhanglyGit (chooseOthersOldest) -> othersEntryOldest(1).valid, 3905db4956bSzhanglyGit (chooseEnqOldest) -> enqEntryOldest(1).valid, 3918db72c71Sfdy (choose1stSub) -> subDeqSelValidVec(1).getOrElse(Seq(false.B)).head) 3928db72c71Sfdy ) 3938db72c71Sfdy finalDeqSelOHVec(1) := MuxCase(subDeqSelOHVec(1).getOrElse(Seq(0.U)).last, Seq( 3945db4956bSzhanglyGit (chooseOthersOldest) -> Cat(othersEntryOldest(1).bits, 0.U((params.numEnq).W)), 3955db4956bSzhanglyGit (chooseEnqOldest) -> Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldest(1).bits), 3968db72c71Sfdy (choose1stSub) -> subDeqSelOHVec(1).getOrElse(Seq(0.U)).head) 3978db72c71Sfdy ) 398730cfbc0SXuan Hu } 399730cfbc0SXuan Hu 400de93b508SzhanglyGit //fuBusyTable 4015db4956bSzhanglyGit fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.foreach { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 402de93b508SzhanglyGit if(busyTableWrite.nonEmpty) { 403de93b508SzhanglyGit val btwr = busyTableWrite.get 404de93b508SzhanglyGit val btrd = busyTableRead.get 405dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 406dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 407dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 408de93b508SzhanglyGit btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 4095db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 410de93b508SzhanglyGit fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 411ea0f92d8Sczw } 412de93b508SzhanglyGit else { 4138d29ec32Sczw fuBusyTableMask(i) := 0.U(params.numEntries.W) 414ea0f92d8Sczw } 4152e0a7dc5Sfdy } 4162e0a7dc5Sfdy 417dd970561SzhanglyGit //wbfuBusyTable write 4185db4956bSzhanglyGit intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 419dd970561SzhanglyGit if(busyTableWrite.nonEmpty) { 420dd970561SzhanglyGit val btwr = busyTableWrite.get 421dd970561SzhanglyGit val bt = busyTable.get 422dd970561SzhanglyGit val dq = deqResp.get 423dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 424dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 425dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 426dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 427dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 428dd970561SzhanglyGit } 429dd970561SzhanglyGit } 430dd970561SzhanglyGit 4315db4956bSzhanglyGit vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 432dd970561SzhanglyGit if (busyTableWrite.nonEmpty) { 433dd970561SzhanglyGit val btwr = busyTableWrite.get 434dd970561SzhanglyGit val bt = busyTable.get 435dd970561SzhanglyGit val dq = deqResp.get 436dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 437dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 438dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 439dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 440dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 441dd970561SzhanglyGit } 442dd970561SzhanglyGit } 443dd970561SzhanglyGit 444de93b508SzhanglyGit //wbfuBusyTable read 4455db4956bSzhanglyGit intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 446de93b508SzhanglyGit if(busyTableRead.nonEmpty) { 447de93b508SzhanglyGit val btrd = busyTableRead.get 448de93b508SzhanglyGit val bt = busyTable.get 449de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 4505db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 451de93b508SzhanglyGit intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 452de93b508SzhanglyGit } 453de93b508SzhanglyGit else { 454de93b508SzhanglyGit intWbBusyTableMask(i) := 0.U(params.numEntries.W) 455de93b508SzhanglyGit } 456de93b508SzhanglyGit } 4575db4956bSzhanglyGit vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 458de93b508SzhanglyGit if (busyTableRead.nonEmpty) { 459de93b508SzhanglyGit val btrd = busyTableRead.get 460de93b508SzhanglyGit val bt = busyTable.get 461de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 4625db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 463de93b508SzhanglyGit vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 464de93b508SzhanglyGit } 465de93b508SzhanglyGit else { 466de93b508SzhanglyGit vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 467de93b508SzhanglyGit } 468ea0f92d8Sczw } 469ea0f92d8Sczw 470bf35baadSXuan Hu wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 471bc7d6943SzhanglyGit val og0RespEach = io.og0Resp(i) 472bc7d6943SzhanglyGit val og1RespEach = io.og1Resp(i) 473bf35baadSXuan Hu wakeUpQueueOption.foreach { 474bf35baadSXuan Hu wakeUpQueue => 475493a9370SHaojin Tang val flush = Wire(new WakeupQueueFlush) 476493a9370SHaojin Tang flush.redirect := io.flush 4770f55a0d3SHaojin Tang flush.ldCancel := io.ldCancel 478493a9370SHaojin Tang flush.og0Fail := io.og0Resp(i).valid && RSFeedbackType.isBlocked(io.og0Resp(i).bits.respType) 479493a9370SHaojin Tang flush.og1Fail := io.og1Resp(i).valid && RSFeedbackType.isBlocked(io.og1Resp(i).bits.respType) 480493a9370SHaojin Tang wakeUpQueue.io.flush := flush 4810e502183SHaojin Tang wakeUpQueue.io.enq.valid := io.deq(i).fire && !io.deq(i).bits.common.needCancel(io.og0Cancel, io.og1Cancel) && { 4821526754bSXuan Hu if (io.deq(i).bits.common.rfWen.isDefined) 4831526754bSXuan Hu io.deq(i).bits.common.rfWen.get && io.deq(i).bits.common.pdest =/= 0.U 4841526754bSXuan Hu else 4851526754bSXuan Hu true.B 4861526754bSXuan Hu } 487bf35baadSXuan Hu wakeUpQueue.io.enq.bits.uop := io.deq(i).bits.common 488bf35baadSXuan Hu wakeUpQueue.io.enq.bits.lat := getDeqLat(i, io.deq(i).bits.common.fuType) 489493a9370SHaojin Tang wakeUpQueue.io.og0IssueFail := flush.og0Fail 490493a9370SHaojin Tang wakeUpQueue.io.og1IssueFail := flush.og1Fail 491bf35baadSXuan Hu } 492bf35baadSXuan Hu } 493bf35baadSXuan Hu 494730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 495730cfbc0SXuan Hu deq.valid := finalDeqSelValidVec(i) 496730cfbc0SXuan Hu deq.bits.addrOH := finalDeqSelOHVec(i) 497730cfbc0SXuan Hu deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 498730cfbc0SXuan Hu deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 4995db4956bSzhanglyGit deq.bits.common.fuType := deqEntryVec(i).bits.payload.fuType 5005db4956bSzhanglyGit deq.bits.common.fuOpType := deqEntryVec(i).bits.payload.fuOpType 5015db4956bSzhanglyGit deq.bits.common.rfWen.foreach(_ := deqEntryVec(i).bits.payload.rfWen) 5025db4956bSzhanglyGit deq.bits.common.fpWen.foreach(_ := deqEntryVec(i).bits.payload.fpWen) 5035db4956bSzhanglyGit deq.bits.common.vecWen.foreach(_ := deqEntryVec(i).bits.payload.vecWen) 5045db4956bSzhanglyGit deq.bits.common.flushPipe.foreach(_ := deqEntryVec(i).bits.payload.flushPipe) 5055db4956bSzhanglyGit deq.bits.common.pdest := deqEntryVec(i).bits.payload.pdest 5065db4956bSzhanglyGit deq.bits.common.robIdx := deqEntryVec(i).bits.payload.robIdx 5075db4956bSzhanglyGit deq.bits.common.imm := deqEntryVec(i).bits.imm 508c0be7f33SXuan Hu deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach { 509c0be7f33SXuan Hu case ((sink, source), srcIdx) => 510c0be7f33SXuan Hu sink.value := Mux( 5115db4956bSzhanglyGit SrcType.isXp(deqEntryVec(i).bits.payload.srcType(srcIdx)) && deqEntryVec(i).bits.payload.psrc(srcIdx) === 0.U, 512c0be7f33SXuan Hu DataSource.none, 513c0be7f33SXuan Hu source.value 514c0be7f33SXuan Hu ) 5155d2b9cadSXuan Hu } 516bc7d6943SzhanglyGit if(params.hasIQWakeUp) { 517bc7d6943SzhanglyGit deq.bits.common.l1ExuVec := finalWakeUpL1ExuOH.get(i) 518bc7d6943SzhanglyGit } else { 519bc7d6943SzhanglyGit deq.bits.common.l1ExuVec := deqEntryVec(i).bits.payload.l1ExuOH.take(deq.bits.common.l1ExuVec.length) 520bc7d6943SzhanglyGit } 521ea46c302SXuan Hu deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i)) 5220f55a0d3SHaojin Tang deq.bits.common.loadDependency.foreach(_ := deqEntryVec(i).bits.status.mergedLoadDependency.get) 5230f55a0d3SHaojin Tang deq.bits.common.deqPortIdx.foreach(_ := i.U) 5242fb6a709SHaojin Tang deq.bits.common.src := DontCare 5255d2b9cadSXuan Hu 5265db4956bSzhanglyGit deq.bits.rf.zip(deqEntryVec(i).bits.payload.psrc).foreach { case (rf, psrc) => 527730cfbc0SXuan Hu rf.foreach(_.addr := psrc) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 528730cfbc0SXuan Hu } 5295db4956bSzhanglyGit deq.bits.rf.zip(deqEntryVec(i).bits.payload.srcType).foreach { case (rf, srcType) => 530730cfbc0SXuan Hu rf.foreach(_.srcType := srcType) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 531730cfbc0SXuan Hu } 5325db4956bSzhanglyGit deq.bits.srcType.zip(deqEntryVec(i).bits.payload.srcType).foreach { case (sink, source) => 533730cfbc0SXuan Hu sink := source 534730cfbc0SXuan Hu } 5355db4956bSzhanglyGit deq.bits.immType := deqEntryVec(i).bits.payload.selImm 536765e58c6Ssinsanction 537765e58c6Ssinsanction // dirty code for lui+addi(w) fusion 538765e58c6Ssinsanction when (deqEntryVec(i).bits.payload.isLUI32) { 539765e58c6Ssinsanction val lui_imm = Cat(deqEntryVec(i).bits.payload.lsrc(1), deqEntryVec(i).bits.payload.lsrc(0), deqEntryVec(i).bits.imm(ImmUnion.maxLen - 1, 0)) 540765e58c6Ssinsanction deq.bits.common.imm := ImmUnion.LUI32.toImm32(lui_imm) 541765e58c6Ssinsanction } 542f4dcd9fcSsinsanction 543f4dcd9fcSsinsanction // dirty code for fused_lui_load 544f4dcd9fcSsinsanction when (SrcType.isImm(deqEntryVec(i).bits.payload.srcType(0)) && deqEntryVec(i).bits.payload.fuType === FuType.ldu.U) { 545f4dcd9fcSsinsanction deq.bits.common.imm := Imm_LUI_LOAD().getLuiImm(deqEntryVec(i).bits.payload) 546f4dcd9fcSsinsanction } 54796e858baSXuan Hu 54896e858baSXuan Hu deq.bits.common.perfDebugInfo := deqEntryVec(i).bits.payload.debugInfo 54996e858baSXuan Hu deq.bits.common.perfDebugInfo.selectTime := GTimer() 55096e858baSXuan Hu deq.bits.common.perfDebugInfo.issueTime := GTimer() + 1.U 551730cfbc0SXuan Hu } 5520f55a0d3SHaojin Tang 5530f55a0d3SHaojin Tang private val ldCancels = io.fromCancelNetwork.map(in => 5540f55a0d3SHaojin Tang LoadShouldCancel(in.bits.common.loadDependency, io.ldCancel) 5550f55a0d3SHaojin Tang ) 5560f55a0d3SHaojin Tang private val fromCancelNetworkShift = WireDefault(io.fromCancelNetwork) 5570f55a0d3SHaojin Tang fromCancelNetworkShift.zip(io.fromCancelNetwork).foreach { 5580f55a0d3SHaojin Tang case (shifted, original) => 5590f55a0d3SHaojin Tang original.ready := shifted.ready // this will not cause combinational loop 5600f55a0d3SHaojin Tang shifted.bits.common.loadDependency.foreach( 5610f55a0d3SHaojin Tang _ := original.bits.common.loadDependency.get.map(_ << 1) 5620f55a0d3SHaojin Tang ) 5630f55a0d3SHaojin Tang } 5640f55a0d3SHaojin Tang io.deqDelay.zip(fromCancelNetworkShift).zip(ldCancels).foreach { case ((deqDly, deq), ldCancel) => 56559ef6009Sxiaofeibao-xjtu NewPipelineConnect( 56659ef6009Sxiaofeibao-xjtu deq, deqDly, deqDly.valid, 5670f55a0d3SHaojin Tang deq.bits.common.robIdx.needFlush(io.flush) || ldCancel, 56859ef6009Sxiaofeibao-xjtu Option("Scheduler2DataPathPipe") 56959ef6009Sxiaofeibao-xjtu ) 57059ef6009Sxiaofeibao-xjtu } 57159ef6009Sxiaofeibao-xjtu dontTouch(io.deqDelay) 572bf35baadSXuan Hu io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 573e63b0a03SXuan Hu if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) { 574bf35baadSXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 575c0be7f33SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 5760f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 577e63b0a03SXuan Hu } else if (wakeUpQueues(i).nonEmpty) { 578e63b0a03SXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 579e63b0a03SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 5800f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 581bf35baadSXuan Hu } else { 582bf35baadSXuan Hu wakeup.valid := false.B 5830f55a0d3SHaojin Tang wakeup.bits := 0.U.asTypeOf(wakeup.bits) 584bf35baadSXuan Hu } 585bf35baadSXuan Hu } 586bf35baadSXuan Hu 587730cfbc0SXuan Hu // Todo: better counter implementation 5885db4956bSzhanglyGit private val enqHasValid = validVec.take(params.numEnq).reduce(_ | _) 589e986c5deSXuan Hu private val enqEntryValidCnt = PopCount(validVec.take(params.numEnq)) 5905db4956bSzhanglyGit private val othersValidCnt = PopCount(validVec.drop(params.numEnq)) 5915db4956bSzhanglyGit io.status.leftVec(0) := validVec.drop(params.numEnq).reduce(_ & _) 592730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 5935db4956bSzhanglyGit io.status.leftVec(i + 1) := othersValidCnt === (params.numEntries - params.numEnq - (i + 1)).U 594730cfbc0SXuan Hu } 5955db4956bSzhanglyGit io.enq.foreach(_.ready := !Cat(io.status.leftVec).orR || !enqHasValid) // Todo: more efficient implementation 596f4d8f008SHaojin Tang io.status.empty := !Cat(validVec).orR 597f4d8f008SHaojin Tang io.status.full := Cat(io.status.leftVec).orR 598bf35baadSXuan Hu 599bf35baadSXuan Hu protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 6008e208fb5SXuan Hu val fuLatUIntMaps: Map[UInt, UInt] = fuLatencyMaps(deqPortIdx).map { case (k, v) => (k.U, v.U) } 601df764280SXuan Hu val lat = WireInit(Mux1H(fuLatUIntMaps.keys.map(_ === fuType).toSeq, fuLatUIntMaps.values.toSeq)) 6028e208fb5SXuan Hu dontTouch(lat) 603bf35baadSXuan Hu } 60489740385Ssinsanction 605de7754bfSsinsanction // issue perf counter 606e986c5deSXuan Hu // enq count 607e986c5deSXuan Hu XSPerfAccumulate("enq_valid_cnt", PopCount(io.enq.map(_.fire))) 608e986c5deSXuan Hu XSPerfAccumulate("enq_fire_cnt", PopCount(io.enq.map(_.fire))) 609e986c5deSXuan Hu // valid count 610e986c5deSXuan Hu XSPerfHistogram("enq_entry_valid_cnt", enqEntryValidCnt, true.B, 0, params.numEnq + 1) 611e986c5deSXuan Hu XSPerfHistogram("other_entry_valid_cnt", othersValidCnt, true.B, 0, params.numEntries - params.numEnq + 1, step = params.numEntries / 8) 612e986c5deSXuan Hu XSPerfHistogram("valid_cnt", PopCount(validVec), true.B, 0, params.numEntries + 1) 613de7754bfSsinsanction // ready instr count 614e986c5deSXuan Hu private val readyEntriesCnt = PopCount(validVec.zip(canIssueVec).map(x => x._1 && x._2)) 615e986c5deSXuan Hu XSPerfHistogram("ready_cnt", readyEntriesCnt, true.B, 0, params.numEntries + 1) 616e986c5deSXuan Hu // only split when more than 1 func type 617e986c5deSXuan Hu if (params.getFuCfgs.size > 0) { 61889740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 61989740385Ssinsanction val fuName = FuType.functionNameMap(t) 62089740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 621e986c5deSXuan Hu XSPerfHistogram(s"ready_cnt_hist_futype_${fuName}", PopCount(validVec.zip(canIssueVec).zip(fuTypeVec).map { case ((v, c), fu) => v && c && fu === t.U }), true.B, 0, params.numEntries, 1) 622e986c5deSXuan Hu } 62389740385Ssinsanction } 62489740385Ssinsanction } 62589740385Ssinsanction 626de7754bfSsinsanction // deq instr count 627e986c5deSXuan Hu XSPerfAccumulate("issue_instr_pre_count", PopCount(io.deq.map(_.valid))) 628e986c5deSXuan Hu XSPerfHistogram("issue_instr_pre_count_hist", PopCount(io.deq.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 629e986c5deSXuan Hu XSPerfAccumulate("issue_instr_count", PopCount(io.deqDelay.map(_.valid))) 630e986c5deSXuan Hu XSPerfHistogram("issue_instr_count_hist", PopCount(io.deqDelay.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 631de7754bfSsinsanction 632de7754bfSsinsanction // deq instr data source count 63389740385Ssinsanction XSPerfAccumulate("issue_datasource_reg", io.deq.map{ deq => 63489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 63589740385Ssinsanction }.reduce(_ +& _)) 63689740385Ssinsanction XSPerfAccumulate("issue_datasource_bypass", io.deq.map{ deq => 63789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 63889740385Ssinsanction }.reduce(_ +& _)) 63989740385Ssinsanction XSPerfAccumulate("issue_datasource_forward", io.deq.map{ deq => 64089740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 64189740385Ssinsanction }.reduce(_ +& _)) 642de7754bfSsinsanction XSPerfAccumulate("issue_datasource_noreg", io.deq.map{ deq => 643de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 644de7754bfSsinsanction }.reduce(_ +& _)) 64589740385Ssinsanction 64689740385Ssinsanction XSPerfHistogram("issue_datasource_reg_hist", io.deq.map{ deq => 64789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 648e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 64989740385Ssinsanction XSPerfHistogram("issue_datasource_bypass_hist", io.deq.map{ deq => 65089740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 651e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 65289740385Ssinsanction XSPerfHistogram("issue_datasource_forward_hist", io.deq.map{ deq => 65389740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 654e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 655de7754bfSsinsanction XSPerfHistogram("issue_datasource_noreg_hist", io.deq.map{ deq => 656de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 657e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 65889740385Ssinsanction 659de7754bfSsinsanction // deq instr data source count for each futype 66089740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 66189740385Ssinsanction val fuName = FuType.functionNameMap(t) 66289740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 66389740385Ssinsanction XSPerfAccumulate(s"issue_datasource_reg_futype_${fuName}", io.deq.map{ deq => 66489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 66589740385Ssinsanction }.reduce(_ +& _)) 66689740385Ssinsanction XSPerfAccumulate(s"issue_datasource_bypass_futype_${fuName}", io.deq.map{ deq => 66789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 66889740385Ssinsanction }.reduce(_ +& _)) 66989740385Ssinsanction XSPerfAccumulate(s"issue_datasource_forward_futype_${fuName}", io.deq.map{ deq => 67089740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 67189740385Ssinsanction }.reduce(_ +& _)) 672de7754bfSsinsanction XSPerfAccumulate(s"issue_datasource_noreg_futype_${fuName}", io.deq.map{ deq => 673de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 674de7754bfSsinsanction }.reduce(_ +& _)) 67589740385Ssinsanction 67689740385Ssinsanction XSPerfHistogram(s"issue_datasource_reg_hist_futype_${fuName}", io.deq.map{ deq => 67789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 678e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 67989740385Ssinsanction XSPerfHistogram(s"issue_datasource_bypass_hist_futype_${fuName}", io.deq.map{ deq => 68089740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 681e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 68289740385Ssinsanction XSPerfHistogram(s"issue_datasource_forward_hist_futype_${fuName}", io.deq.map{ deq => 68389740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 684e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 685de7754bfSsinsanction XSPerfHistogram(s"issue_datasource_noreg_hist_futype_${fuName}", io.deq.map{ deq => 686de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 687e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 68889740385Ssinsanction } 68989740385Ssinsanction } 69089740385Ssinsanction 691de7754bfSsinsanction // cancel instr count 69289740385Ssinsanction if (params.hasIQWakeUp) { 69389740385Ssinsanction val cancelVec: Vec[Bool] = entries.io.cancel.get 69489740385Ssinsanction XSPerfAccumulate("cancel_instr_count", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2))) 69589740385Ssinsanction XSPerfHistogram("cancel_instr_hist", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2)), true.B, 0, params.numEntries, 1) 69689740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 69789740385Ssinsanction val fuName = FuType.functionNameMap(t) 69889740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 69989740385Ssinsanction XSPerfAccumulate(s"cancel_instr_count_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U })) 70089740385Ssinsanction XSPerfHistogram(s"cancel_instr_hist_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U }), true.B, 0, params.numEntries, 1) 70189740385Ssinsanction } 70289740385Ssinsanction } 70389740385Ssinsanction } 704730cfbc0SXuan Hu} 705730cfbc0SXuan Hu 706730cfbc0SXuan Huclass IssueQueueJumpBundle extends Bundle { 707730cfbc0SXuan Hu val pc = UInt(VAddrData().dataWidth.W) 708730cfbc0SXuan Hu} 709730cfbc0SXuan Hu 710730cfbc0SXuan Huclass IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 711730cfbc0SXuan Hu val fastMatch = UInt(backendParams.LduCnt.W) 712730cfbc0SXuan Hu val fastImm = UInt(12.W) 713730cfbc0SXuan Hu} 714730cfbc0SXuan Hu 715d456387eSHaojin Tangclass IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO 716730cfbc0SXuan Hu 717730cfbc0SXuan Huclass IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 718730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 719730cfbc0SXuan Hu{ 720730cfbc0SXuan Hu io.suggestName("none") 721730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 722730cfbc0SXuan Hu 7235db4956bSzhanglyGit if(params.needPc) { 7245db4956bSzhanglyGit entries.io.enq.zipWithIndex.foreach { case (entriesEnq, i) => 7255db4956bSzhanglyGit entriesEnq.bits.status.pc.foreach(_ := io.enq(i).bits.pc) 726730cfbc0SXuan Hu } 727730cfbc0SXuan Hu } 728730cfbc0SXuan Hu 729730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 730427cfec3SHaojin Tang deq.bits.common.pc.foreach(_ := deqEntryVec(i).bits.status.pc.get) 7315db4956bSzhanglyGit deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 7325db4956bSzhanglyGit deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 7335db4956bSzhanglyGit deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 734730cfbc0SXuan Hu deq.bits.common.predictInfo.foreach(x => { 735d8a24b06SzhanglyGit x.target := DontCare 7365db4956bSzhanglyGit x.taken := deqEntryVec(i).bits.payload.pred_taken 737730cfbc0SXuan Hu }) 738730cfbc0SXuan Hu // for std 7395db4956bSzhanglyGit deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 740730cfbc0SXuan Hu // for i2f 7415db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 742730cfbc0SXuan Hu }} 743730cfbc0SXuan Hu} 744730cfbc0SXuan Hu 745730cfbc0SXuan Huclass IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 746730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 747730cfbc0SXuan Hu{ 748bdda74fdSxiaofeibao-xjtu s0_enqBits.foreach{ x => 749bdda74fdSxiaofeibao-xjtu x.srcType(3) := SrcType.vp // v0: mask src 750bdda74fdSxiaofeibao-xjtu x.srcType(4) := SrcType.vp // vl&vtype 751730cfbc0SXuan Hu } 752730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 7535db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 7545db4956bSzhanglyGit deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 7555db4956bSzhanglyGit deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 756730cfbc0SXuan Hu }} 757730cfbc0SXuan Hu} 758730cfbc0SXuan Hu 759730cfbc0SXuan Huclass IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 760730cfbc0SXuan Hu val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 761730cfbc0SXuan Hu val checkWait = new Bundle { 762730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr) 763730cfbc0SXuan Hu val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 764730cfbc0SXuan Hu } 765730cfbc0SXuan Hu val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 766730cfbc0SXuan Hu} 767730cfbc0SXuan Hu 768730cfbc0SXuan Huclass IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 769730cfbc0SXuan Hu val memIO = Some(new IssueQueueMemBundle) 770730cfbc0SXuan Hu} 771730cfbc0SXuan Hu 772730cfbc0SXuan Huclass IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 773730cfbc0SXuan Hu extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 774730cfbc0SXuan Hu 7754ee69032SzhanglyGit require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ") 776730cfbc0SXuan Hu 777730cfbc0SXuan Hu io.suggestName("none") 778730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 779730cfbc0SXuan Hu private val memIO = io.memIO.get 780730cfbc0SXuan Hu 781853cd2d8SHaojin Tang memIO.loadFastMatch := 0.U.asTypeOf(memIO.loadFastMatch) // TODO: is still needed? 782853cd2d8SHaojin Tang 783730cfbc0SXuan Hu for (i <- io.enq.indices) { 784730cfbc0SXuan Hu val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 785730cfbc0SXuan Hu val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 78606083203SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).valid && 78706083203SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).bits.value === io.enq(i).bits.waitForRobIdx.value 788730cfbc0SXuan Hu })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 789730cfbc0SXuan Hu s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 790730cfbc0SXuan Hu } 791730cfbc0SXuan Hu 7925db4956bSzhanglyGit for (i <- entries.io.enq.indices) { 7935db4956bSzhanglyGit entries.io.enq(i).bits.status match { case enqData => 794de784418SXuan Hu enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 795730cfbc0SXuan Hu enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 796730cfbc0SXuan Hu enqData.mem.get.waitForStd := false.B 797730cfbc0SXuan Hu enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 798730cfbc0SXuan Hu enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 799730cfbc0SXuan Hu enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 800730cfbc0SXuan Hu } 801730cfbc0SXuan Hu 8025db4956bSzhanglyGit entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 803730cfbc0SXuan Hu slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 8045db4956bSzhanglyGit slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 805d54d930bSfdy slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 806730cfbc0SXuan Hu slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 8078d29ec32Sczw slowResp.bits.rfWen := DontCare 8088d29ec32Sczw slowResp.bits.fuType := DontCare 809730cfbc0SXuan Hu } 810730cfbc0SXuan Hu 8115db4956bSzhanglyGit entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 812730cfbc0SXuan Hu fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 8135db4956bSzhanglyGit fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 814730cfbc0SXuan Hu fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 815730cfbc0SXuan Hu fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 8168d29ec32Sczw fastResp.bits.rfWen := DontCare 8178d29ec32Sczw fastResp.bits.fuType := DontCare 818730cfbc0SXuan Hu } 819730cfbc0SXuan Hu 8205db4956bSzhanglyGit entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 8215db4956bSzhanglyGit entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 822730cfbc0SXuan Hu } 823730cfbc0SXuan Hu 824730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 8255db4956bSzhanglyGit deq.bits.common.sqIdx.get := deqEntryVec(i).bits.payload.sqIdx 8265db4956bSzhanglyGit deq.bits.common.lqIdx.get := deqEntryVec(i).bits.payload.lqIdx 827542ae917SHaojin Tang deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 828542ae917SHaojin Tang deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 829730cfbc0SXuan Hu } 830730cfbc0SXuan Hu}