1730cfbc0SXuan Hupackage xiangshan.backend.issue 2730cfbc0SXuan Hu 383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 76dbb4e08SXuan Huimport utility.{GTimer, GatedValidRegNext, HasCircularQueuePtrHelper, SelectOne} 8765e58c6Ssinsanctionimport utils._ 9730cfbc0SXuan Huimport xiangshan._ 10c0be7f33SXuan Huimport xiangshan.backend.Bundles._ 11aa2bcc31SzhanglyGitimport xiangshan.backend.issue.EntryBundles._ 12f4dcd9fcSsinsanctionimport xiangshan.backend.decode.{ImmUnion, Imm_LUI_LOAD} 13730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 14c0be7f33SXuan Huimport xiangshan.backend.datapath.DataSource 158e208fb5SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType} 166dbb4e08SXuan Huimport xiangshan.mem.{LqPtr, MemWaitUpdateReq, SqPtr} 172d270511Ssinsanctionimport xiangshan.backend.rob.RobPtr 1859ef6009Sxiaofeibao-xjtuimport xiangshan.backend.datapath.NewPipelineConnect 196dbb4e08SXuan Huimport xiangshan.backend.fu.vector.Bundles.VSew 20730cfbc0SXuan Hu 21730cfbc0SXuan Huclass IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 221ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 231ca4a39dSXuan Hu 24195ef4a5STang Haojin implicit val iqParams: IssueBlockParams = params 2583ba63b3SXuan Hu lazy val module: IssueQueueImp = iqParams.schdType match { 26730cfbc0SXuan Hu case IntScheduler() => new IssueQueueIntImp(this) 2760f0c5aeSxiaofeibao case FpScheduler() => new IssueQueueFpImp(this) 28730cfbc0SXuan Hu case VfScheduler() => new IssueQueueVfImp(this) 292d270511Ssinsanction case MemScheduler() => 302d270511Ssinsanction if (iqParams.StdCnt == 0 && !iqParams.isVecMemIQ) new IssueQueueMemAddrImp(this) 312d270511Ssinsanction else if (iqParams.isVecMemIQ) new IssueQueueVecMemImp(this) 32730cfbc0SXuan Hu else new IssueQueueIntImp(this) 33730cfbc0SXuan Hu case _ => null 34730cfbc0SXuan Hu } 35730cfbc0SXuan Hu} 36730cfbc0SXuan Hu 3756bcaed7SHaojin Tangclass IssueQueueStatusBundle(numEnq: Int, numEntries: Int) extends Bundle { 38730cfbc0SXuan Hu val empty = Output(Bool()) 39730cfbc0SXuan Hu val full = Output(Bool()) 4056bcaed7SHaojin Tang val validCnt = Output(UInt(log2Ceil(numEntries).W)) 41730cfbc0SXuan Hu val leftVec = Output(Vec(numEnq + 1, Bool())) 42730cfbc0SXuan Hu} 43730cfbc0SXuan Hu 445db4956bSzhanglyGitclass IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends EntryDeqRespBundle 45730cfbc0SXuan Hu 46730cfbc0SXuan Huclass IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 47bf35baadSXuan Hu // Inputs 48730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 49730cfbc0SXuan Hu val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 50730cfbc0SXuan Hu 51730cfbc0SXuan Hu val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 52730cfbc0SXuan Hu val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 53c38df446SzhanglyGit val og2Resp = OptionWrapper(params.inVfSchd, Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 54aa2bcc31SzhanglyGit val finalIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 55aa2bcc31SzhanglyGit val memAddrIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 567e471bf8SXuan Hu val vecLoadIssueResp = OptionWrapper(params.VlduCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 572e0a7dc5Sfdy val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 58dd970561SzhanglyGit val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 59c0be7f33SXuan Hu val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 60c0be7f33SXuan Hu val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 61b6279fc6SZiyue Zhang val vlIsZero = Input(Bool()) 62b6279fc6SZiyue Zhang val vlIsVlmax = Input(Bool()) 637a96cc7fSHaojin Tang val og0Cancel = Input(ExuOH(backendParams.numExu)) 647a96cc7fSHaojin Tang val og1Cancel = Input(ExuOH(backendParams.numExu)) 656810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO)) 66bf35baadSXuan Hu 67bf35baadSXuan Hu // Outputs 68c0be7f33SXuan Hu val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 6956bcaed7SHaojin Tang val status = Output(new IssueQueueStatusBundle(params.numEnq, params.numEntries)) 70ff3fcdf1Sxiaofeibao-xjtu val validCntDeqVec = Output(Vec(params.numDeq,UInt(params.numEntries.U.getWidth.W))) 7114b3c65cSHaojin Tang // val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 72bf35baadSXuan Hu 7359ef6009Sxiaofeibao-xjtu val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType 74bf35baadSXuan Hu def allWakeUp = wakeupFromWB ++ wakeupFromIQ 75730cfbc0SXuan Hu} 76730cfbc0SXuan Hu 77730cfbc0SXuan Huclass IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 78730cfbc0SXuan Hu extends LazyModuleImp(wrapper) 79730cfbc0SXuan Hu with HasXSParameter { 80730cfbc0SXuan Hu 810721d1aaSXuan Hu override def desiredName: String = s"${params.getIQName}" 820721d1aaSXuan Hu 83c0be7f33SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 84e63b0a03SXuan Hu s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 85e63b0a03SXuan Hu s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " + 8628607074Ssinsanction s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}, " + 8728607074Ssinsanction s"numEnq: ${params.numEnq}, numSimp: ${params.numSimp}, numComp: ${params.numComp}, numDeq: ${params.numDeq}, " + 8828607074Ssinsanction s"isAllSimp: ${params.isAllSimp}, isAllComp: ${params.isAllComp}") 89730cfbc0SXuan Hu 90730cfbc0SXuan Hu require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 9128607074Ssinsanction require(params.numEnq <= 2, "IssueQueue has not supported more than 2 enq ports") 9228607074Ssinsanction require(params.numSimp == 0 || params.numSimp >= params.numEnq, "numSimp should be 0 or at least not less than numEnq") 9328607074Ssinsanction require(params.numComp == 0 || params.numComp >= params.numEnq, "numComp should be 0 or at least not less than numEnq") 9428607074Ssinsanction 95730cfbc0SXuan Hu val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 96730cfbc0SXuan Hu val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 97730cfbc0SXuan Hu val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 98730cfbc0SXuan Hu val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 99c38df446SzhanglyGit val wakeupFuLatencyMaps : Seq[Map[FuType.OHType, Int]] = params.exuBlockParams.map(x => x.wakeUpFuLatencyMap) 1008e208fb5SXuan Hu 101c38df446SzhanglyGit println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${wakeupFuLatencyMaps}") 102730cfbc0SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 103730cfbc0SXuan Hu lazy val io = IO(new IssueQueueIO()) 1045db4956bSzhanglyGit 10528607074Ssinsanction // Modules 1065db4956bSzhanglyGit val entries = Module(new Entries) 107dd970561SzhanglyGit val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 108dd970561SzhanglyGit val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 109dd970561SzhanglyGit val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 110dd970561SzhanglyGit val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 11160f0c5aeSxiaofeibao val fpWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.fpLatencyCertain, Module(new FuBusyTableWrite(x.fpFuLatencyMap))) } 11260f0c5aeSxiaofeibao val fpWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.fpLatencyCertain, Module(new FuBusyTableRead(x.fpFuLatencyMap))) } 113dd970561SzhanglyGit val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 114dd970561SzhanglyGit val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 1158dd32220Ssinsanction val v0WbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.v0LatencyCertain, Module(new FuBusyTableWrite(x.v0FuLatencyMap))) } 1168dd32220Ssinsanction val v0WbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.v0LatencyCertain, Module(new FuBusyTableRead(x.v0FuLatencyMap))) } 1178dd32220Ssinsanction val vlWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vlLatencyCertain, Module(new FuBusyTableWrite(x.vlFuLatencyMap))) } 1188dd32220Ssinsanction val vlWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vlLatencyCertain, Module(new FuBusyTableRead(x.vlFuLatencyMap))) } 119730cfbc0SXuan Hu 120493a9370SHaojin Tang class WakeupQueueFlush extends Bundle { 121493a9370SHaojin Tang val redirect = ValidIO(new Redirect) 1226810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, new LoadCancelIO) 123493a9370SHaojin Tang val og0Fail = Output(Bool()) 124493a9370SHaojin Tang val og1Fail = Output(Bool()) 125493a9370SHaojin Tang } 126493a9370SHaojin Tang 127493a9370SHaojin Tang private def flushFunc(exuInput: ExuInput, flush: WakeupQueueFlush, stage: Int): Bool = { 128493a9370SHaojin Tang val redirectFlush = exuInput.robIdx.needFlush(flush.redirect) 1290f55a0d3SHaojin Tang val loadDependencyFlush = LoadShouldCancel(exuInput.loadDependency, flush.ldCancel) 130493a9370SHaojin Tang val ogFailFlush = stage match { 131493a9370SHaojin Tang case 1 => flush.og0Fail 132493a9370SHaojin Tang case 2 => flush.og1Fail 133493a9370SHaojin Tang case _ => false.B 134493a9370SHaojin Tang } 1350f55a0d3SHaojin Tang redirectFlush || loadDependencyFlush || ogFailFlush 1360f55a0d3SHaojin Tang } 1370f55a0d3SHaojin Tang 138ec1fea84SzhanglyGit private def modificationFunc(exuInput: ExuInput): ExuInput = { 139ec1fea84SzhanglyGit val newExuInput = WireDefault(exuInput) 140ec1fea84SzhanglyGit newExuInput.loadDependency match { 141ec1fea84SzhanglyGit case Some(deps) => deps.zip(exuInput.loadDependency.get).foreach(x => x._1 := x._2 << 1) 142ec1fea84SzhanglyGit case None => 143ec1fea84SzhanglyGit } 144ec1fea84SzhanglyGit newExuInput 145ec1fea84SzhanglyGit } 146ec1fea84SzhanglyGit 147ec1fea84SzhanglyGit private def lastConnectFunc(exuInput: ExuInput, newInput: ExuInput): ExuInput = { 1480c7ebb58Sxiaofeibao-xjtu val lastExuInput = WireDefault(exuInput) 1490c7ebb58Sxiaofeibao-xjtu val newExuInput = WireDefault(newInput) 1500c7ebb58Sxiaofeibao-xjtu newExuInput.elements.foreach { case (name, data) => 1510c7ebb58Sxiaofeibao-xjtu if (lastExuInput.elements.contains(name)) { 1520c7ebb58Sxiaofeibao-xjtu data := lastExuInput.elements(name) 1530c7ebb58Sxiaofeibao-xjtu } 1540c7ebb58Sxiaofeibao-xjtu } 1550c7ebb58Sxiaofeibao-xjtu if (newExuInput.pdestCopy.nonEmpty && !lastExuInput.pdestCopy.nonEmpty) { 1560c7ebb58Sxiaofeibao-xjtu newExuInput.pdestCopy.get.foreach(_ := lastExuInput.pdest) 1570c7ebb58Sxiaofeibao-xjtu } 1584c5a0d77Sxiaofeibao-xjtu if (newExuInput.rfWenCopy.nonEmpty && !lastExuInput.rfWenCopy.nonEmpty) { 1594c5a0d77Sxiaofeibao-xjtu newExuInput.rfWenCopy.get.foreach(_ := lastExuInput.rfWen.get) 1604c5a0d77Sxiaofeibao-xjtu } 1614c5a0d77Sxiaofeibao-xjtu if (newExuInput.fpWenCopy.nonEmpty && !lastExuInput.fpWenCopy.nonEmpty) { 1624c5a0d77Sxiaofeibao-xjtu newExuInput.fpWenCopy.get.foreach(_ := lastExuInput.fpWen.get) 1634c5a0d77Sxiaofeibao-xjtu } 1644c5a0d77Sxiaofeibao-xjtu if (newExuInput.vecWenCopy.nonEmpty && !lastExuInput.vecWenCopy.nonEmpty) { 1658dd32220Ssinsanction newExuInput.vecWenCopy.get.foreach(_ := lastExuInput.vecWen.get) 1668dd32220Ssinsanction } 1678dd32220Ssinsanction if (newExuInput.v0WenCopy.nonEmpty && !lastExuInput.v0WenCopy.nonEmpty) { 1688dd32220Ssinsanction newExuInput.v0WenCopy.get.foreach(_ := lastExuInput.v0Wen.get) 1698dd32220Ssinsanction } 1708dd32220Ssinsanction if (newExuInput.vlWenCopy.nonEmpty && !lastExuInput.vlWenCopy.nonEmpty) { 1718dd32220Ssinsanction newExuInput.vlWenCopy.get.foreach(_ := lastExuInput.vlWen.get) 1724c5a0d77Sxiaofeibao-xjtu } 1734c5a0d77Sxiaofeibao-xjtu if (newExuInput.loadDependencyCopy.nonEmpty && !lastExuInput.loadDependencyCopy.nonEmpty) { 1744c5a0d77Sxiaofeibao-xjtu newExuInput.loadDependencyCopy.get.foreach(_ := lastExuInput.loadDependency.get) 1754c5a0d77Sxiaofeibao-xjtu } 1760f55a0d3SHaojin Tang newExuInput 177493a9370SHaojin Tang } 178493a9370SHaojin Tang 179a01a12bbSHaojin Tang val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, WakeupQueueFlush]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource && !x.hasLoadExu, Module( 1806fa1007bSxiaofeibao-xjtu new MultiWakeupQueue(new ExuInput(x), new ExuInput(x, x.copyWakeupOut, x.copyNum), new WakeupQueueFlush, x.wakeUpFuLatancySet, flushFunc, modificationFunc, lastConnectFunc) 181a01a12bbSHaojin Tang ))} 182fb445e8dSzhanglyGit val deqBeforeDly = Wire(params.genIssueDecoupledBundle) 183bf35baadSXuan Hu 184dd970561SzhanglyGit val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 18560f0c5aeSxiaofeibao val fpWbBusyTableIn = io.wbBusyTableRead.map(_.fpWbBusyTable) 186dd970561SzhanglyGit val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 1878dd32220Ssinsanction val v0WbBusyTableIn = io.wbBusyTableRead.map(_.v0WbBusyTable) 1888dd32220Ssinsanction val vlWbBusyTableIn = io.wbBusyTableRead.map(_.vlWbBusyTable) 1898dd32220Ssinsanction 190dd970561SzhanglyGit val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 19160f0c5aeSxiaofeibao val fpWbBusyTableOut = io.wbBusyTableWrite.map(_.fpWbBusyTable) 192dd970561SzhanglyGit val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 1938dd32220Ssinsanction val v0WbBusyTableOut = io.wbBusyTableWrite.map(_.v0WbBusyTable) 1948dd32220Ssinsanction val vlWbBusyTableOut = io.wbBusyTableWrite.map(_.vlWbBusyTable) 1958dd32220Ssinsanction 196dd970561SzhanglyGit val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 19760f0c5aeSxiaofeibao val fpDeqRespSetOut = io.wbBusyTableWrite.map(_.fpDeqRespSet) 198dd970561SzhanglyGit val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 1998dd32220Ssinsanction val v0DeqRespSetOut = io.wbBusyTableWrite.map(_.v0DeqRespSet) 2008dd32220Ssinsanction val vlDeqRespSetOut = io.wbBusyTableWrite.map(_.vlDeqRespSet) 2018dd32220Ssinsanction 202ea0f92d8Sczw val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 203de93b508SzhanglyGit val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 20460f0c5aeSxiaofeibao val fpWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 205de93b508SzhanglyGit val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 2068dd32220Ssinsanction val v0WbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 2078dd32220Ssinsanction val vlWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 2088dd32220Ssinsanction 209730cfbc0SXuan Hu val s0_enqValidVec = io.enq.map(_.valid) 210730cfbc0SXuan Hu val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 211730cfbc0SXuan Hu val s0_enqNotFlush = !io.flush.valid 212730cfbc0SXuan Hu val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 2135db4956bSzhanglyGit val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) //enqValid && notFlush && enqReady 214730cfbc0SXuan Hu 215730cfbc0SXuan Hu 216730cfbc0SXuan Hu val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 217730cfbc0SXuan Hu val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 218730cfbc0SXuan Hu 2195db4956bSzhanglyGit val validVec = VecInit(entries.io.valid.asBools) 2205db4956bSzhanglyGit val canIssueVec = VecInit(entries.io.canIssue.asBools) 221aa2bcc31SzhanglyGit dontTouch(canIssueVec) 222aa2bcc31SzhanglyGit val deqFirstIssueVec = entries.io.isFirstIssue 223730cfbc0SXuan Hu 2245db4956bSzhanglyGit val dataSources: Vec[Vec[DataSource]] = entries.io.dataSources 225cf4a131aSsinsanction val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, dataSources))) 226eea4a3caSzhanglyGit val loadDependency: Vec[Vec[UInt]] = entries.io.loadDependency 227eea4a3caSzhanglyGit val finalLoadDependency: IndexedSeq[Vec[UInt]] = VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, loadDependency))) 228c0be7f33SXuan Hu // (entryIdx)(srcIdx)(exuIdx) 229864480f4Sxiaofeibao-xjtu val wakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = entries.io.srcWakeUpL1ExuOH 230c0be7f33SXuan Hu // (deqIdx)(srcIdx)(exuIdx) 231864480f4Sxiaofeibao-xjtu val finalWakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, x)))) 232cdac04a3SXuan Hu 2335db4956bSzhanglyGit val fuTypeVec = Wire(Vec(params.numEntries, FuType())) 2345db4956bSzhanglyGit val deqEntryVec = Wire(Vec(params.numDeq, ValidIO(new EntryBundle))) 235cf4a131aSsinsanction val canIssueMergeAllBusy = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 236cf4a131aSsinsanction val deqCanIssue = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 2375db4956bSzhanglyGit 23828607074Ssinsanction //deq 23940283787Ssinsanction val enqEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt(params.numEnq.W)))) 24028607074Ssinsanction val simpEntryOldestSel = OptionWrapper(params.hasCompAndSimp, Wire(Vec(params.numDeq + params.numEnq, ValidIO(UInt(params.numSimp.W))))) 24128607074Ssinsanction val compEntryOldestSel = OptionWrapper(params.hasCompAndSimp, Wire(Vec(params.numDeq, ValidIO(UInt(params.numComp.W))))) 24240283787Ssinsanction val othersEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt((params.numEntries - params.numEnq).W)))) 243f7f73727Ssinsanction val deqSelValidVec = Wire(Vec(params.numDeq, Bool())) 244f7f73727Ssinsanction val deqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 245af4bd265SzhanglyGit val cancelDeqVec = Wire(Vec(params.numDeq, Bool())) 246f7f73727Ssinsanction 247cf4a131aSsinsanction val subDeqSelValidVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, Bool()))) 248cf4a131aSsinsanction val subDeqSelOHVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, UInt(params.numEntries.W)))) 249cf4a131aSsinsanction val subDeqRequest = OptionWrapper(params.deqFuSame, Wire(UInt(params.numEntries.W))) 250cf4a131aSsinsanction 25128607074Ssinsanction //trans 25228607074Ssinsanction val simpEntryEnqSelVec = OptionWrapper(params.hasCompAndSimp, Wire(Vec(params.numEnq, UInt(params.numSimp.W)))) 25328607074Ssinsanction val compEntryEnqSelVec = OptionWrapper(params.hasCompAndSimp, Wire(Vec(params.numEnq, UInt(params.numComp.W)))) 25428607074Ssinsanction val othersEntryEnqSelVec = OptionWrapper(params.isAllComp || params.isAllSimp, Wire(Vec(params.numEnq, UInt((params.numEntries - params.numEnq).W)))) 25528607074Ssinsanction val simpAgeDetectRequest = OptionWrapper(params.hasCompAndSimp, Wire(Vec(params.numDeq + params.numEnq, UInt(params.numSimp.W)))) 25628607074Ssinsanction simpAgeDetectRequest.foreach(_ := 0.U.asTypeOf(simpAgeDetectRequest.get)) 25728607074Ssinsanction 258de111a36Ssinsanction // when vf exu (with og2) wake up int/mem iq (without og2), the wakeup signals should delay 1 cycle 259de111a36Ssinsanction // as vf exu's min latency is 1, we do not need consider og0cancel 260de111a36Ssinsanction val wakeupFromIQ = Wire(chiselTypeOf(io.wakeupFromIQ)) 261de111a36Ssinsanction wakeupFromIQ.zip(io.wakeupFromIQ).foreach { case (w, w_src) => 262de111a36Ssinsanction if (!params.inVfSchd && params.readVfRf && params.hasWakeupFromVf && w_src.bits.params.isVfExeUnit) { 263de111a36Ssinsanction val noCancel = !LoadShouldCancel(Some(w_src.bits.loadDependency), io.ldCancel) 264de111a36Ssinsanction w := RegNext(Mux(noCancel, w_src, 0.U.asTypeOf(w))) 265de111a36Ssinsanction w.bits.loadDependency.zip(w_src.bits.loadDependency).foreach{ case (ld, ld_src) => ld := RegNext(Mux(noCancel, ld_src << 1, 0.U.asTypeOf(ld))) } 266de111a36Ssinsanction } else { 267de111a36Ssinsanction w := w_src 268de111a36Ssinsanction } 269de111a36Ssinsanction } 270de111a36Ssinsanction 271bf35baadSXuan Hu /** 2725db4956bSzhanglyGit * Connection of [[entries]] 273bf35baadSXuan Hu */ 2745db4956bSzhanglyGit entries.io match { case entriesIO: EntriesIO => 275aa2bcc31SzhanglyGit entriesIO.flush := io.flush 276aa2bcc31SzhanglyGit entriesIO.enq.zipWithIndex.foreach { case (enq, enqIdx) => 277aa2bcc31SzhanglyGit enq.valid := s0_doEnqSelValidVec(enqIdx) 278aa2bcc31SzhanglyGit enq.bits.status.robIdx := s0_enqBits(enqIdx).robIdx 279aa2bcc31SzhanglyGit enq.bits.status.fuType := IQFuType.readFuType(VecInit(s0_enqBits(enqIdx).fuType.asBools), params.getFuCfgs.map(_.fuType)) 280aa2bcc31SzhanglyGit val numLsrc = s0_enqBits(enqIdx).srcType.size.min(enq.bits.status.srcStatus.map(_.srcType).size) 2815db4956bSzhanglyGit for(j <- 0 until numLsrc) { 282aa2bcc31SzhanglyGit enq.bits.status.srcStatus(j).psrc := s0_enqBits(enqIdx).psrc(j) 283aa2bcc31SzhanglyGit enq.bits.status.srcStatus(j).srcType := s0_enqBits(enqIdx).srcType(j) 284b38000bfSsinsanction enq.bits.status.srcStatus(j).srcState := (if (j < 3) { 285ee8d1f1bSsinsanction Mux(SrcType.isVp(s0_enqBits(enqIdx).srcType(j)) && (s0_enqBits(enqIdx).psrc(j) === 0.U), 286b38000bfSsinsanction SrcState.rdy, 28791f31488Sxiaofeibao-xjtu s0_enqBits(enqIdx).srcState(j)) 288b38000bfSsinsanction } else { 28991f31488Sxiaofeibao-xjtu s0_enqBits(enqIdx).srcState(j) 290b38000bfSsinsanction }) 291b38000bfSsinsanction enq.bits.status.srcStatus(j).dataSources.value := (if (j < 3) { 292b38000bfSsinsanction MuxCase(DataSource.reg, Seq( 293b38000bfSsinsanction (SrcType.isXp(s0_enqBits(enqIdx).srcType(j)) && (s0_enqBits(enqIdx).psrc(j) === 0.U)) -> DataSource.zero, 294b38000bfSsinsanction SrcType.isNotReg(s0_enqBits(enqIdx).srcType(j)) -> DataSource.imm, 295ee8d1f1bSsinsanction (SrcType.isVp(s0_enqBits(enqIdx).srcType(j)) && (s0_enqBits(enqIdx).psrc(j) === 0.U)) -> DataSource.v0, 296b38000bfSsinsanction )) 297b38000bfSsinsanction } else { 298b38000bfSsinsanction MuxCase(DataSource.reg, Seq( 299b38000bfSsinsanction SrcType.isNotReg(s0_enqBits(enqIdx).srcType(j)) -> DataSource.imm, 300b38000bfSsinsanction )) 301b38000bfSsinsanction }) 302ec49b127Ssinsanction enq.bits.status.srcStatus(j).srcLoadDependency := VecInit(s0_enqBits(enqIdx).srcLoadDependency(j).map(x => x << 1)) 303aa2bcc31SzhanglyGit if(params.hasIQWakeUp) { 304aa2bcc31SzhanglyGit enq.bits.status.srcStatus(j).srcWakeUpL1ExuOH.get := 0.U.asTypeOf(ExuVec()) 305730cfbc0SXuan Hu } 306aa2bcc31SzhanglyGit } 307aa2bcc31SzhanglyGit enq.bits.status.blocked := false.B 3085db4956bSzhanglyGit enq.bits.status.issued := false.B 3095db4956bSzhanglyGit enq.bits.status.firstIssue := false.B 310c38df446SzhanglyGit enq.bits.status.issueTimer := "b11".U 311aa2bcc31SzhanglyGit enq.bits.status.deqPortIdx := 0.U 312aa2bcc31SzhanglyGit enq.bits.imm.foreach(_ := s0_enqBits(enqIdx).imm) 313aa2bcc31SzhanglyGit enq.bits.payload := s0_enqBits(enqIdx) 314730cfbc0SXuan Hu } 3155db4956bSzhanglyGit entriesIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 316f08a822fSzhanglyGit og0Resp := io.og0Resp(i) 317730cfbc0SXuan Hu } 3185db4956bSzhanglyGit entriesIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 319f08a822fSzhanglyGit og1Resp := io.og1Resp(i) 320730cfbc0SXuan Hu } 321c38df446SzhanglyGit if (params.inVfSchd) { 322c38df446SzhanglyGit entriesIO.og2Resp.get.zipWithIndex.foreach { case (og2Resp, i) => 323c38df446SzhanglyGit og2Resp := io.og2Resp.get(i) 324c38df446SzhanglyGit } 325c38df446SzhanglyGit } 326e07131b2Ssinsanction if (params.isLdAddrIQ || params.isHyAddrIQ) { 327e07131b2Ssinsanction entriesIO.fromLoad.get.finalIssueResp.zipWithIndex.foreach { case (finalIssueResp, i) => 3280f55a0d3SHaojin Tang finalIssueResp := io.finalIssueResp.get(i) 329e07131b2Ssinsanction } 330e07131b2Ssinsanction entriesIO.fromLoad.get.memAddrIssueResp.zipWithIndex.foreach { case (memAddrIssueResp, i) => 3316462eb1cSzhanglyGit memAddrIssueResp := io.memAddrIssueResp.get(i) 332e07131b2Ssinsanction } 333e07131b2Ssinsanction } 3347e471bf8SXuan Hu if (params.isVecLduIQ) { 3357e471bf8SXuan Hu entriesIO.vecLdIn.get.resp.zipWithIndex.foreach { case (resp, i) => 3367e471bf8SXuan Hu resp := io.vecLoadIssueResp.get(i) 3377e471bf8SXuan Hu } 3387e471bf8SXuan Hu } 339aa2bcc31SzhanglyGit for(deqIdx <- 0 until params.numDeq) { 340aa2bcc31SzhanglyGit entriesIO.deqReady(deqIdx) := deqBeforeDly(deqIdx).ready 341aa2bcc31SzhanglyGit entriesIO.deqSelOH(deqIdx).valid := deqSelValidVec(deqIdx) 342aa2bcc31SzhanglyGit entriesIO.deqSelOH(deqIdx).bits := deqSelOHVec(deqIdx) 343aa2bcc31SzhanglyGit entriesIO.enqEntryOldestSel(deqIdx) := enqEntryOldestSel(deqIdx) 34428607074Ssinsanction entriesIO.simpEntryOldestSel.foreach(_(deqIdx) := simpEntryOldestSel.get(deqIdx)) 34528607074Ssinsanction entriesIO.compEntryOldestSel.foreach(_(deqIdx) := compEntryOldestSel.get(deqIdx)) 34628607074Ssinsanction entriesIO.othersEntryOldestSel.foreach(_(deqIdx) := othersEntryOldestSel(deqIdx)) 347aa2bcc31SzhanglyGit entriesIO.subDeqRequest.foreach(_(deqIdx) := subDeqRequest.get) 348aa2bcc31SzhanglyGit entriesIO.subDeqSelOH.foreach(_(deqIdx) := subDeqSelOHVec.get(deqIdx)) 349aa2bcc31SzhanglyGit } 350aa2bcc31SzhanglyGit entriesIO.wakeUpFromWB := io.wakeupFromWB 351de111a36Ssinsanction entriesIO.wakeUpFromIQ := wakeupFromIQ 352b6279fc6SZiyue Zhang entriesIO.vlIsZero := io.vlIsZero 353b6279fc6SZiyue Zhang entriesIO.vlIsVlmax := io.vlIsVlmax 354aa2bcc31SzhanglyGit entriesIO.og0Cancel := io.og0Cancel 355aa2bcc31SzhanglyGit entriesIO.og1Cancel := io.og1Cancel 356aa2bcc31SzhanglyGit entriesIO.ldCancel := io.ldCancel 35728607074Ssinsanction entriesIO.simpEntryDeqSelVec.foreach(_ := VecInit(simpEntryOldestSel.get.takeRight(params.numEnq).map(_.bits))) 358aa2bcc31SzhanglyGit //output 359aa2bcc31SzhanglyGit fuTypeVec := entriesIO.fuType 360aa2bcc31SzhanglyGit deqEntryVec := entriesIO.deqEntry 361aa2bcc31SzhanglyGit cancelDeqVec := entriesIO.cancelDeqVec 36228607074Ssinsanction simpEntryEnqSelVec.foreach(_ := entriesIO.simpEntryEnqSelVec.get) 36328607074Ssinsanction compEntryEnqSelVec.foreach(_ := entriesIO.compEntryEnqSelVec.get) 36428607074Ssinsanction othersEntryEnqSelVec.foreach(_ := entriesIO.othersEntryEnqSelVec.get) 365730cfbc0SXuan Hu } 366730cfbc0SXuan Hu 367730cfbc0SXuan Hu 3685db4956bSzhanglyGit s0_enqSelValidVec := s0_enqValidVec.zip(io.enq).map{ case (enqValid, enq) => enqValid && enq.ready} 369730cfbc0SXuan Hu 3705db4956bSzhanglyGit protected val commonAccept: UInt = Cat(fuTypeVec.map(fuType => 37166e57d91Ssinsanction FuType.FuTypeOrR(fuType, commonFuCfgs.map(_.fuType)) 372730cfbc0SXuan Hu ).reverse) 373730cfbc0SXuan Hu 374730cfbc0SXuan Hu // if deq port can accept the uop 375730cfbc0SXuan Hu protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 37666e57d91Ssinsanction Cat(fuTypeVec.map(fuType => 37766e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType)) 37866e57d91Ssinsanction ).reverse) 379730cfbc0SXuan Hu } 380730cfbc0SXuan Hu 381730cfbc0SXuan Hu protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3825db4956bSzhanglyGit fuTypeVec.map(fuType => 383cf4a131aSsinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))) 384730cfbc0SXuan Hu } 385730cfbc0SXuan Hu 38640283787Ssinsanction canIssueMergeAllBusy.zipWithIndex.foreach { case (merge, i) => 38740283787Ssinsanction val mergeFuBusy = { 38840283787Ssinsanction if (fuBusyTableWrite(i).nonEmpty) canIssueVec.asUInt & (~fuBusyTableMask(i)) 38940283787Ssinsanction else canIssueVec.asUInt 39040283787Ssinsanction } 39140283787Ssinsanction val mergeIntWbBusy = { 39240283787Ssinsanction if (intWbBusyTableRead(i).nonEmpty) mergeFuBusy & (~intWbBusyTableMask(i)) 39340283787Ssinsanction else mergeFuBusy 39440283787Ssinsanction } 39560f0c5aeSxiaofeibao val mergefpWbBusy = { 39660f0c5aeSxiaofeibao if (fpWbBusyTableRead(i).nonEmpty) mergeIntWbBusy & (~fpWbBusyTableMask(i)) 39740283787Ssinsanction else mergeIntWbBusy 39840283787Ssinsanction } 39960f0c5aeSxiaofeibao val mergeVfWbBusy = { 40060f0c5aeSxiaofeibao if (vfWbBusyTableRead(i).nonEmpty) mergefpWbBusy & (~vfWbBusyTableMask(i)) 40160f0c5aeSxiaofeibao else mergefpWbBusy 40260f0c5aeSxiaofeibao } 4038dd32220Ssinsanction val mergeV0WbBusy = { 4048dd32220Ssinsanction if (v0WbBusyTableRead(i).nonEmpty) mergeVfWbBusy & (~v0WbBusyTableMask(i)) 4058dd32220Ssinsanction else mergeVfWbBusy 4068dd32220Ssinsanction } 4078dd32220Ssinsanction val mergeVlWbBusy = { 4088dd32220Ssinsanction if (vlWbBusyTableRead(i).nonEmpty) mergeV0WbBusy & (~vlWbBusyTableMask(i)) 4098dd32220Ssinsanction else mergeV0WbBusy 4108dd32220Ssinsanction } 4118dd32220Ssinsanction merge := mergeVlWbBusy 41240283787Ssinsanction } 41340283787Ssinsanction 414cf4a131aSsinsanction deqCanIssue.zipWithIndex.foreach { case (req, i) => 415cf4a131aSsinsanction req := canIssueMergeAllBusy(i) & VecInit(deqCanAcceptVec(i)).asUInt 416730cfbc0SXuan Hu } 417aa2bcc31SzhanglyGit dontTouch(fuTypeVec) 418aa2bcc31SzhanglyGit dontTouch(canIssueMergeAllBusy) 419aa2bcc31SzhanglyGit dontTouch(deqCanIssue) 420730cfbc0SXuan Hu 421f7f73727Ssinsanction if (params.numDeq == 2) { 422f7f73727Ssinsanction require(params.deqFuSame || params.deqFuDiff, "The 2 deq ports need to be identical or completely different") 423f7f73727Ssinsanction } 424f7f73727Ssinsanction 425f7f73727Ssinsanction if (params.numDeq == 2 && params.deqFuSame) { 42628607074Ssinsanction val subDeqPolicy = Module(new DeqPolicy()) 42728607074Ssinsanction 428cf4a131aSsinsanction enqEntryOldestSel := DontCare 429f7f73727Ssinsanction 43028607074Ssinsanction if (params.isAllComp || params.isAllSimp) { 431f7f73727Ssinsanction othersEntryOldestSel(0) := AgeDetector(numEntries = params.numEntries - params.numEnq, 43228607074Ssinsanction enq = othersEntryEnqSelVec.get, 433f7f73727Ssinsanction canIssue = canIssueVec.asUInt(params.numEntries-1, params.numEnq) 434f7f73727Ssinsanction ) 435f7f73727Ssinsanction othersEntryOldestSel(1) := DontCare 436f7f73727Ssinsanction 437cf4a131aSsinsanction subDeqPolicy.io.request := subDeqRequest.get 438cf4a131aSsinsanction subDeqSelValidVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.valid) 439cf4a131aSsinsanction subDeqSelOHVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.bits) 44028607074Ssinsanction } 44128607074Ssinsanction else { 44228607074Ssinsanction simpAgeDetectRequest.get(0) := canIssueVec.asUInt(params.numEnq + params.numSimp - 1, params.numEnq) 44328607074Ssinsanction simpAgeDetectRequest.get(1) := DontCare 44428607074Ssinsanction simpAgeDetectRequest.get(params.numDeq) := VecInit(validVec.drop(params.numEnq).take(params.numSimp)).asUInt 44528607074Ssinsanction if (params.numEnq == 2) { 44628607074Ssinsanction simpAgeDetectRequest.get(params.numDeq + 1) := VecInit(validVec.drop(params.numEnq).take(params.numSimp)).asUInt & ~simpEntryOldestSel.get(params.numDeq).bits 44728607074Ssinsanction } 44828607074Ssinsanction 44928607074Ssinsanction simpEntryOldestSel.get := AgeDetector(numEntries = params.numSimp, 45028607074Ssinsanction enq = simpEntryEnqSelVec.get, 45128607074Ssinsanction canIssue = simpAgeDetectRequest.get 45228607074Ssinsanction ) 45328607074Ssinsanction 45428607074Ssinsanction compEntryOldestSel.get(0) := AgeDetector(numEntries = params.numComp, 45528607074Ssinsanction enq = compEntryEnqSelVec.get, 45628607074Ssinsanction canIssue = canIssueVec.asUInt(params.numEntries - 1, params.numEnq + params.numSimp) 45728607074Ssinsanction ) 45828607074Ssinsanction compEntryOldestSel.get(1) := DontCare 45928607074Ssinsanction 46028607074Ssinsanction othersEntryOldestSel(0).valid := compEntryOldestSel.get(0).valid || simpEntryOldestSel.get(0).valid 46128607074Ssinsanction othersEntryOldestSel(0).bits := Cat( 46228607074Ssinsanction compEntryOldestSel.get(0).bits, 46328607074Ssinsanction Fill(params.numSimp, !compEntryOldestSel.get(0).valid) & simpEntryOldestSel.get(0).bits, 46428607074Ssinsanction ) 46528607074Ssinsanction othersEntryOldestSel(1) := DontCare 46628607074Ssinsanction 46728607074Ssinsanction subDeqPolicy.io.request := Reverse(subDeqRequest.get) 46828607074Ssinsanction subDeqSelValidVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.valid) 46928607074Ssinsanction subDeqSelOHVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => Reverse(oh.bits)) 47028607074Ssinsanction } 47128607074Ssinsanction 47228607074Ssinsanction subDeqRequest.get := canIssueVec.asUInt & ~Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W)) 473f7f73727Ssinsanction 4745a6da888Ssinsanction deqSelValidVec(0) := othersEntryOldestSel(0).valid || subDeqSelValidVec.get(1) 4755a6da888Ssinsanction deqSelValidVec(1) := subDeqSelValidVec.get(0) 476cf4a131aSsinsanction deqSelOHVec(0) := Mux(othersEntryOldestSel(0).valid, 477cf4a131aSsinsanction Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W)), 4785a6da888Ssinsanction subDeqSelOHVec.get(1)) & canIssueMergeAllBusy(0) 4795a6da888Ssinsanction deqSelOHVec(1) := subDeqSelOHVec.get(0) & canIssueMergeAllBusy(1) 480f7f73727Ssinsanction 481f7f73727Ssinsanction finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) => 482fb445e8dSzhanglyGit selValid := deqValid && deqOH.orR && deqBeforeDly(i).ready 483f7f73727Ssinsanction selOH := deqOH 484f7f73727Ssinsanction } 485f7f73727Ssinsanction } 486f7f73727Ssinsanction else { 487527eefbdSsinsanction enqEntryOldestSel := NewAgeDetector(numEntries = params.numEnq, 488527eefbdSsinsanction enq = VecInit(s0_doEnqSelValidVec), 489527eefbdSsinsanction canIssue = VecInit(deqCanIssue.map(_(params.numEnq - 1, 0))) 4905db4956bSzhanglyGit ) 4918db72c71Sfdy 49228607074Ssinsanction if (params.isAllComp || params.isAllSimp) { 493527eefbdSsinsanction othersEntryOldestSel := AgeDetector(numEntries = params.numEntries - params.numEnq, 49428607074Ssinsanction enq = othersEntryEnqSelVec.get, 495527eefbdSsinsanction canIssue = VecInit(deqCanIssue.map(_(params.numEntries - 1, params.numEnq))) 4965db4956bSzhanglyGit ) 4975db4956bSzhanglyGit 498ea159d42Ssinsanction deqSelValidVec.zip(deqSelOHVec).zipWithIndex.foreach { case ((selValid, selOH), i) => 499f7f73727Ssinsanction if (params.exuBlockParams(i).fuConfigs.contains(FuConfig.FakeHystaCfg)) { 500f7f73727Ssinsanction selValid := false.B 501f7f73727Ssinsanction selOH := 0.U.asTypeOf(selOH) 502f7f73727Ssinsanction } else { 503cf4a131aSsinsanction selValid := othersEntryOldestSel(i).valid || enqEntryOldestSel(i).valid 50428607074Ssinsanction selOH := Cat(othersEntryOldestSel(i).bits, Fill(params.numEnq, !othersEntryOldestSel(i).valid) & enqEntryOldestSel(i).bits) 50528607074Ssinsanction } 50628607074Ssinsanction } 50728607074Ssinsanction } 50828607074Ssinsanction else { 50928607074Ssinsanction othersEntryOldestSel := DontCare 51028607074Ssinsanction 51128607074Ssinsanction deqCanIssue.zipWithIndex.foreach { case (req, i) => 51228607074Ssinsanction simpAgeDetectRequest.get(i) := req(params.numEnq + params.numSimp - 1, params.numEnq) 51328607074Ssinsanction } 51428607074Ssinsanction simpAgeDetectRequest.get(params.numDeq) := VecInit(validVec.drop(params.numEnq).take(params.numSimp)).asUInt 51528607074Ssinsanction if (params.numEnq == 2) { 51628607074Ssinsanction simpAgeDetectRequest.get(params.numDeq + 1) := VecInit(validVec.drop(params.numEnq).take(params.numSimp)).asUInt & ~simpEntryOldestSel.get(params.numDeq).bits 51728607074Ssinsanction } 51828607074Ssinsanction 51928607074Ssinsanction simpEntryOldestSel.get := AgeDetector(numEntries = params.numSimp, 52028607074Ssinsanction enq = simpEntryEnqSelVec.get, 52128607074Ssinsanction canIssue = simpAgeDetectRequest.get 52228607074Ssinsanction ) 52328607074Ssinsanction 52428607074Ssinsanction compEntryOldestSel.get := AgeDetector(numEntries = params.numComp, 52528607074Ssinsanction enq = compEntryEnqSelVec.get, 52628607074Ssinsanction canIssue = VecInit(deqCanIssue.map(_(params.numEntries - 1, params.numEnq + params.numSimp))) 52728607074Ssinsanction ) 52828607074Ssinsanction 52928607074Ssinsanction deqSelValidVec.zip(deqSelOHVec).zipWithIndex.foreach { case ((selValid, selOH), i) => 5305c1f97ccSsinsanction if (params.exuBlockParams(i).fuConfigs.contains(FuConfig.FakeHystaCfg)) { 5315c1f97ccSsinsanction selValid := false.B 5325c1f97ccSsinsanction selOH := 0.U.asTypeOf(selOH) 5335c1f97ccSsinsanction } else { 53428607074Ssinsanction selValid := compEntryOldestSel.get(i).valid || simpEntryOldestSel.get(i).valid || enqEntryOldestSel(i).valid 53528607074Ssinsanction selOH := Cat( 53628607074Ssinsanction compEntryOldestSel.get(i).bits, 53728607074Ssinsanction Fill(params.numSimp, !compEntryOldestSel.get(i).valid) & simpEntryOldestSel.get(i).bits, 53828607074Ssinsanction Fill(params.numEnq, !compEntryOldestSel.get(i).valid && !simpEntryOldestSel.get(i).valid) & enqEntryOldestSel(i).bits 53928607074Ssinsanction ) 540f7f73727Ssinsanction } 541730cfbc0SXuan Hu } 5425c1f97ccSsinsanction } 543ea159d42Ssinsanction 544ea159d42Ssinsanction finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) => 545fb445e8dSzhanglyGit selValid := deqValid && deqBeforeDly(i).ready 546ea159d42Ssinsanction selOH := deqOH 547ea159d42Ssinsanction } 548ea159d42Ssinsanction } 549ea159d42Ssinsanction 550ea159d42Ssinsanction val toBusyTableDeqResp = Wire(Vec(params.numDeq, ValidIO(new IssueQueueDeqRespBundle))) 551ea159d42Ssinsanction 552ea159d42Ssinsanction toBusyTableDeqResp.zipWithIndex.foreach { case (deqResp, i) => 553ea159d42Ssinsanction deqResp.valid := finalDeqSelValidVec(i) 554f08a822fSzhanglyGit deqResp.bits.resp := RespType.success 555ea159d42Ssinsanction deqResp.bits.robIdx := DontCare 556*38f78b5dSxiaofeibao-xjtu deqResp.bits.sqIdx.foreach(_ := DontCare) 557fb445e8dSzhanglyGit deqResp.bits.fuType := deqBeforeDly(i).bits.common.fuType 558aa2bcc31SzhanglyGit deqResp.bits.uopIdx.foreach(_ := DontCare) 559d1bb5687SHaojin Tang } 560730cfbc0SXuan Hu 561de93b508SzhanglyGit //fuBusyTable 5625db4956bSzhanglyGit fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.foreach { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 563de93b508SzhanglyGit if(busyTableWrite.nonEmpty) { 564de93b508SzhanglyGit val btwr = busyTableWrite.get 565de93b508SzhanglyGit val btrd = busyTableRead.get 566ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 567dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 568dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 569de93b508SzhanglyGit btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 5705db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 571de93b508SzhanglyGit fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 572ea0f92d8Sczw } 573de93b508SzhanglyGit else { 5748d29ec32Sczw fuBusyTableMask(i) := 0.U(params.numEntries.W) 575ea0f92d8Sczw } 5762e0a7dc5Sfdy } 5772e0a7dc5Sfdy 578dd970561SzhanglyGit //wbfuBusyTable write 5795db4956bSzhanglyGit intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 580dd970561SzhanglyGit if(busyTableWrite.nonEmpty) { 581dd970561SzhanglyGit val btwr = busyTableWrite.get 582dd970561SzhanglyGit val bt = busyTable.get 583dd970561SzhanglyGit val dq = deqResp.get 584ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 585dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 586dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 587dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 588dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 589dd970561SzhanglyGit } 590dd970561SzhanglyGit } 591dd970561SzhanglyGit 59260f0c5aeSxiaofeibao fpWbBusyTableWrite.zip(fpWbBusyTableOut).zip(fpDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 59360f0c5aeSxiaofeibao if (busyTableWrite.nonEmpty) { 59460f0c5aeSxiaofeibao val btwr = busyTableWrite.get 59560f0c5aeSxiaofeibao val bt = busyTable.get 59660f0c5aeSxiaofeibao val dq = deqResp.get 59760f0c5aeSxiaofeibao btwr.io.in.deqResp := toBusyTableDeqResp(i) 59860f0c5aeSxiaofeibao btwr.io.in.og0Resp := io.og0Resp(i) 59960f0c5aeSxiaofeibao btwr.io.in.og1Resp := io.og1Resp(i) 60060f0c5aeSxiaofeibao bt := btwr.io.out.fuBusyTable 60160f0c5aeSxiaofeibao dq := btwr.io.out.deqRespSet 60260f0c5aeSxiaofeibao } 60360f0c5aeSxiaofeibao } 60460f0c5aeSxiaofeibao 6055db4956bSzhanglyGit vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 606dd970561SzhanglyGit if (busyTableWrite.nonEmpty) { 607dd970561SzhanglyGit val btwr = busyTableWrite.get 608dd970561SzhanglyGit val bt = busyTable.get 609dd970561SzhanglyGit val dq = deqResp.get 610ea159d42Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 611dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 612dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 613dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 614dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 615dd970561SzhanglyGit } 616dd970561SzhanglyGit } 617dd970561SzhanglyGit 6188dd32220Ssinsanction v0WbBusyTableWrite.zip(v0WbBusyTableOut).zip(v0DeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 6198dd32220Ssinsanction if (busyTableWrite.nonEmpty) { 6208dd32220Ssinsanction val btwr = busyTableWrite.get 6218dd32220Ssinsanction val bt = busyTable.get 6228dd32220Ssinsanction val dq = deqResp.get 6238dd32220Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 6248dd32220Ssinsanction btwr.io.in.og0Resp := io.og0Resp(i) 6258dd32220Ssinsanction btwr.io.in.og1Resp := io.og1Resp(i) 6268dd32220Ssinsanction bt := btwr.io.out.fuBusyTable 6278dd32220Ssinsanction dq := btwr.io.out.deqRespSet 6288dd32220Ssinsanction } 6298dd32220Ssinsanction } 6308dd32220Ssinsanction 6318dd32220Ssinsanction vlWbBusyTableWrite.zip(vlWbBusyTableOut).zip(vlDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 6328dd32220Ssinsanction if (busyTableWrite.nonEmpty) { 6338dd32220Ssinsanction val btwr = busyTableWrite.get 6348dd32220Ssinsanction val bt = busyTable.get 6358dd32220Ssinsanction val dq = deqResp.get 6368dd32220Ssinsanction btwr.io.in.deqResp := toBusyTableDeqResp(i) 6378dd32220Ssinsanction btwr.io.in.og0Resp := io.og0Resp(i) 6388dd32220Ssinsanction btwr.io.in.og1Resp := io.og1Resp(i) 6398dd32220Ssinsanction bt := btwr.io.out.fuBusyTable 6408dd32220Ssinsanction dq := btwr.io.out.deqRespSet 6418dd32220Ssinsanction } 6428dd32220Ssinsanction } 6438dd32220Ssinsanction 644de93b508SzhanglyGit //wbfuBusyTable read 6455db4956bSzhanglyGit intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 646de93b508SzhanglyGit if(busyTableRead.nonEmpty) { 647de93b508SzhanglyGit val btrd = busyTableRead.get 648de93b508SzhanglyGit val bt = busyTable.get 649de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 6505db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 651de93b508SzhanglyGit intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 652de93b508SzhanglyGit } 653de93b508SzhanglyGit else { 654de93b508SzhanglyGit intWbBusyTableMask(i) := 0.U(params.numEntries.W) 655de93b508SzhanglyGit } 656de93b508SzhanglyGit } 65760f0c5aeSxiaofeibao fpWbBusyTableRead.zip(fpWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 65860f0c5aeSxiaofeibao if (busyTableRead.nonEmpty) { 65960f0c5aeSxiaofeibao val btrd = busyTableRead.get 66060f0c5aeSxiaofeibao val bt = busyTable.get 66160f0c5aeSxiaofeibao btrd.io.in.fuBusyTable := bt 66260f0c5aeSxiaofeibao btrd.io.in.fuTypeRegVec := fuTypeVec 66360f0c5aeSxiaofeibao fpWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 66460f0c5aeSxiaofeibao } 66560f0c5aeSxiaofeibao else { 66660f0c5aeSxiaofeibao fpWbBusyTableMask(i) := 0.U(params.numEntries.W) 66760f0c5aeSxiaofeibao } 66860f0c5aeSxiaofeibao } 6695db4956bSzhanglyGit vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 670de93b508SzhanglyGit if (busyTableRead.nonEmpty) { 671de93b508SzhanglyGit val btrd = busyTableRead.get 672de93b508SzhanglyGit val bt = busyTable.get 673de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 6745db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 675de93b508SzhanglyGit vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 676de93b508SzhanglyGit } 677de93b508SzhanglyGit else { 678de93b508SzhanglyGit vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 679de93b508SzhanglyGit } 680ea0f92d8Sczw } 6818dd32220Ssinsanction v0WbBusyTableRead.zip(v0WbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 6828dd32220Ssinsanction if (busyTableRead.nonEmpty) { 6838dd32220Ssinsanction val btrd = busyTableRead.get 6848dd32220Ssinsanction val bt = busyTable.get 6858dd32220Ssinsanction btrd.io.in.fuBusyTable := bt 6868dd32220Ssinsanction btrd.io.in.fuTypeRegVec := fuTypeVec 6878dd32220Ssinsanction v0WbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 6888dd32220Ssinsanction } 6898dd32220Ssinsanction else { 6908dd32220Ssinsanction v0WbBusyTableMask(i) := 0.U(params.numEntries.W) 6918dd32220Ssinsanction } 6928dd32220Ssinsanction } 6938dd32220Ssinsanction vlWbBusyTableRead.zip(vlWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 6948dd32220Ssinsanction if (busyTableRead.nonEmpty) { 6958dd32220Ssinsanction val btrd = busyTableRead.get 6968dd32220Ssinsanction val bt = busyTable.get 6978dd32220Ssinsanction btrd.io.in.fuBusyTable := bt 6988dd32220Ssinsanction btrd.io.in.fuTypeRegVec := fuTypeVec 6998dd32220Ssinsanction vlWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 7008dd32220Ssinsanction } 7018dd32220Ssinsanction else { 7028dd32220Ssinsanction vlWbBusyTableMask(i) := 0.U(params.numEntries.W) 7038dd32220Ssinsanction } 7048dd32220Ssinsanction } 705ea0f92d8Sczw 706bf35baadSXuan Hu wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 707bf35baadSXuan Hu wakeUpQueueOption.foreach { 708bf35baadSXuan Hu wakeUpQueue => 709493a9370SHaojin Tang val flush = Wire(new WakeupQueueFlush) 710493a9370SHaojin Tang flush.redirect := io.flush 7110f55a0d3SHaojin Tang flush.ldCancel := io.ldCancel 712f08a822fSzhanglyGit flush.og0Fail := io.og0Resp(i).valid && RespType.isBlocked(io.og0Resp(i).bits.resp) 713f08a822fSzhanglyGit flush.og1Fail := io.og1Resp(i).valid && RespType.isBlocked(io.og1Resp(i).bits.resp) 714493a9370SHaojin Tang wakeUpQueue.io.flush := flush 71528607074Ssinsanction wakeUpQueue.io.enq.valid := deqBeforeDly(i).valid 7160c7ebb58Sxiaofeibao-xjtu wakeUpQueue.io.enq.bits.uop :<= deqBeforeDly(i).bits.common 7170c7ebb58Sxiaofeibao-xjtu wakeUpQueue.io.enq.bits.uop.pdestCopy.foreach(_ := 0.U) 718fb445e8dSzhanglyGit wakeUpQueue.io.enq.bits.lat := getDeqLat(i, deqBeforeDly(i).bits.common.fuType) 719bf35baadSXuan Hu } 720bf35baadSXuan Hu } 721bf35baadSXuan Hu 722fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 723af4bd265SzhanglyGit deq.valid := finalDeqSelValidVec(i) && !cancelDeqVec(i) 724730cfbc0SXuan Hu deq.bits.addrOH := finalDeqSelOHVec(i) 725730cfbc0SXuan Hu deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 726730cfbc0SXuan Hu deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 727543f3ac7Ssinsanction deq.bits.common.fuType := IQFuType.readFuType(deqEntryVec(i).bits.status.fuType, params.getFuCfgs.map(_.fuType)).asUInt 7285db4956bSzhanglyGit deq.bits.common.fuOpType := deqEntryVec(i).bits.payload.fuOpType 7295db4956bSzhanglyGit deq.bits.common.rfWen.foreach(_ := deqEntryVec(i).bits.payload.rfWen) 7305db4956bSzhanglyGit deq.bits.common.fpWen.foreach(_ := deqEntryVec(i).bits.payload.fpWen) 7315db4956bSzhanglyGit deq.bits.common.vecWen.foreach(_ := deqEntryVec(i).bits.payload.vecWen) 7328dd32220Ssinsanction deq.bits.common.v0Wen.foreach(_ := deqEntryVec(i).bits.payload.v0Wen) 7338dd32220Ssinsanction deq.bits.common.vlWen.foreach(_ := deqEntryVec(i).bits.payload.vlWen) 7345db4956bSzhanglyGit deq.bits.common.flushPipe.foreach(_ := deqEntryVec(i).bits.payload.flushPipe) 7355db4956bSzhanglyGit deq.bits.common.pdest := deqEntryVec(i).bits.payload.pdest 73651de4363Ssinsanction deq.bits.common.robIdx := deqEntryVec(i).bits.status.robIdx 73796aaae3fSsinsanction 73896aaae3fSsinsanction require(deq.bits.common.dataSources.size <= finalDataSources(i).size) 73996aaae3fSsinsanction deq.bits.common.dataSources.zip(finalDataSources(i)).foreach { case (sink, source) => sink := source} 7406c6bfa02Ssinsanction deq.bits.common.l1ExuOH.foreach(_.zip(finalWakeUpL1ExuOH.get(i)).foreach { case (sink, source) => sink := source}) 74155cbdb85Ssinsanction deq.bits.common.srcTimer.foreach(_ := DontCare) 7426c6bfa02Ssinsanction deq.bits.common.loadDependency.foreach(_.zip(finalLoadDependency(i)).foreach { case (sink, source) => sink := source}) 7432fb6a709SHaojin Tang deq.bits.common.src := DontCare 7449d8d7860SXuan Hu deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 7455d2b9cadSXuan Hu 746aa2bcc31SzhanglyGit deq.bits.rf.zip(deqEntryVec(i).bits.status.srcStatus.map(_.psrc)).zip(deqEntryVec(i).bits.status.srcStatus.map(_.srcType)).foreach { case ((rf, psrc), srcType) => 74751de4363Ssinsanction // psrc in status array can be pregIdx of IntRegFile or VfRegFile 74851de4363Ssinsanction rf.foreach(_.addr := psrc) 74951de4363Ssinsanction rf.foreach(_.srcType := srcType) 750730cfbc0SXuan Hu } 751aa2bcc31SzhanglyGit deq.bits.srcType.zip(deqEntryVec(i).bits.status.srcStatus.map(_.srcType)).foreach { case (sink, source) => 752730cfbc0SXuan Hu sink := source 753730cfbc0SXuan Hu } 7545db4956bSzhanglyGit deq.bits.immType := deqEntryVec(i).bits.payload.selImm 755520f7dacSsinsanction deq.bits.common.imm := deqEntryVec(i).bits.imm.getOrElse(0.U) 75696e858baSXuan Hu 75796e858baSXuan Hu deq.bits.common.perfDebugInfo := deqEntryVec(i).bits.payload.debugInfo 75896e858baSXuan Hu deq.bits.common.perfDebugInfo.selectTime := GTimer() 75996e858baSXuan Hu deq.bits.common.perfDebugInfo.issueTime := GTimer() + 1.U 760730cfbc0SXuan Hu } 7610f55a0d3SHaojin Tang 762ec49b127Ssinsanction io.deqDelay.zip(deqBeforeDly).foreach { case (deqDly, deq) => 76359ef6009Sxiaofeibao-xjtu NewPipelineConnect( 76459ef6009Sxiaofeibao-xjtu deq, deqDly, deqDly.valid, 76559f958d4SzhanglyGit false.B, 76659ef6009Sxiaofeibao-xjtu Option("Scheduler2DataPathPipe") 76759ef6009Sxiaofeibao-xjtu ) 76859ef6009Sxiaofeibao-xjtu } 7698d081717Sszw_kaixin if(backendParams.debugEn) { 77059ef6009Sxiaofeibao-xjtu dontTouch(io.deqDelay) 7718d081717Sszw_kaixin } 772bf35baadSXuan Hu io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 773e63b0a03SXuan Hu if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) { 774bf35baadSXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 775c0be7f33SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 7760f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 77779b2c95bSzhanglyGit wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U 778e63b0a03SXuan Hu } else if (wakeUpQueues(i).nonEmpty) { 779e63b0a03SXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 780e63b0a03SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 7810f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 78279b2c95bSzhanglyGit wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U 783bf35baadSXuan Hu } else { 784bf35baadSXuan Hu wakeup.valid := false.B 7850f55a0d3SHaojin Tang wakeup.bits := 0.U.asTypeOf(wakeup.bits) 78679b2c95bSzhanglyGit wakeup.bits.is0Lat := 0.U 787bf35baadSXuan Hu } 7884c5a0d77Sxiaofeibao-xjtu if (wakeUpQueues(i).nonEmpty) { 7894c5a0d77Sxiaofeibao-xjtu wakeup.bits.rfWen := (if (wakeUpQueues(i).get.io.deq.bits.rfWen .nonEmpty) wakeUpQueues(i).get.io.deq.valid && wakeUpQueues(i).get.io.deq.bits.rfWen .get else false.B) 7904c5a0d77Sxiaofeibao-xjtu wakeup.bits.fpWen := (if (wakeUpQueues(i).get.io.deq.bits.fpWen .nonEmpty) wakeUpQueues(i).get.io.deq.valid && wakeUpQueues(i).get.io.deq.bits.fpWen .get else false.B) 7914c5a0d77Sxiaofeibao-xjtu wakeup.bits.vecWen := (if (wakeUpQueues(i).get.io.deq.bits.vecWen.nonEmpty) wakeUpQueues(i).get.io.deq.valid && wakeUpQueues(i).get.io.deq.bits.vecWen.get else false.B) 7928dd32220Ssinsanction wakeup.bits.v0Wen := (if (wakeUpQueues(i).get.io.deq.bits.v0Wen .nonEmpty) wakeUpQueues(i).get.io.deq.valid && wakeUpQueues(i).get.io.deq.bits.v0Wen.get else false.B) 7938dd32220Ssinsanction wakeup.bits.vlWen := (if (wakeUpQueues(i).get.io.deq.bits.vlWen .nonEmpty) wakeUpQueues(i).get.io.deq.valid && wakeUpQueues(i).get.io.deq.bits.vlWen.get else false.B) 7944c5a0d77Sxiaofeibao-xjtu } 7954c5a0d77Sxiaofeibao-xjtu 7964c5a0d77Sxiaofeibao-xjtu if(wakeUpQueues(i).nonEmpty && wakeup.bits.pdestCopy.nonEmpty){ 7970c7ebb58Sxiaofeibao-xjtu wakeup.bits.pdestCopy.get := wakeUpQueues(i).get.io.deq.bits.pdestCopy.get 7980c7ebb58Sxiaofeibao-xjtu } 7994c5a0d77Sxiaofeibao-xjtu if (wakeUpQueues(i).nonEmpty && wakeup.bits.rfWenCopy.nonEmpty) { 8004c5a0d77Sxiaofeibao-xjtu wakeup.bits.rfWenCopy.get := wakeUpQueues(i).get.io.deq.bits.rfWenCopy.get 8014c5a0d77Sxiaofeibao-xjtu } 8024c5a0d77Sxiaofeibao-xjtu if (wakeUpQueues(i).nonEmpty && wakeup.bits.fpWenCopy.nonEmpty) { 8034c5a0d77Sxiaofeibao-xjtu wakeup.bits.fpWenCopy.get := wakeUpQueues(i).get.io.deq.bits.fpWenCopy.get 8044c5a0d77Sxiaofeibao-xjtu } 8054c5a0d77Sxiaofeibao-xjtu if (wakeUpQueues(i).nonEmpty && wakeup.bits.vecWenCopy.nonEmpty) { 8064c5a0d77Sxiaofeibao-xjtu wakeup.bits.vecWenCopy.get := wakeUpQueues(i).get.io.deq.bits.vecWenCopy.get 8074c5a0d77Sxiaofeibao-xjtu } 8088dd32220Ssinsanction if (wakeUpQueues(i).nonEmpty && wakeup.bits.v0WenCopy.nonEmpty) { 8098dd32220Ssinsanction wakeup.bits.v0WenCopy.get := wakeUpQueues(i).get.io.deq.bits.v0WenCopy.get 8108dd32220Ssinsanction } 8118dd32220Ssinsanction if (wakeUpQueues(i).nonEmpty && wakeup.bits.vlWenCopy.nonEmpty) { 8128dd32220Ssinsanction wakeup.bits.vlWenCopy.get := wakeUpQueues(i).get.io.deq.bits.vlWenCopy.get 8138dd32220Ssinsanction } 8144c5a0d77Sxiaofeibao-xjtu if (wakeUpQueues(i).nonEmpty && wakeup.bits.loadDependencyCopy.nonEmpty) { 8154c5a0d77Sxiaofeibao-xjtu wakeup.bits.loadDependencyCopy.get := wakeUpQueues(i).get.io.deq.bits.loadDependencyCopy.get 8164c5a0d77Sxiaofeibao-xjtu } 817bf35baadSXuan Hu } 818bf35baadSXuan Hu 819730cfbc0SXuan Hu // Todo: better counter implementation 8205db4956bSzhanglyGit private val enqHasValid = validVec.take(params.numEnq).reduce(_ | _) 821e986c5deSXuan Hu private val enqEntryValidCnt = PopCount(validVec.take(params.numEnq)) 8225db4956bSzhanglyGit private val othersValidCnt = PopCount(validVec.drop(params.numEnq)) 823ff3fcdf1Sxiaofeibao-xjtu private val enqEntryValidCntDeq0 = PopCount( 824ff3fcdf1Sxiaofeibao-xjtu validVec.take(params.numEnq).zip(deqCanAcceptVec(0).take(params.numEnq)).map { case (a, b) => a && b } 825ff3fcdf1Sxiaofeibao-xjtu ) 826ff3fcdf1Sxiaofeibao-xjtu private val othersValidCntDeq0 = PopCount( 827ff3fcdf1Sxiaofeibao-xjtu validVec.drop(params.numEnq).zip(deqCanAcceptVec(0).drop(params.numEnq)).map { case (a, b) => a && b } 828ff3fcdf1Sxiaofeibao-xjtu ) 829ff3fcdf1Sxiaofeibao-xjtu private val enqEntryValidCntDeq1 = PopCount( 830ff3fcdf1Sxiaofeibao-xjtu validVec.take(params.numEnq).zip(deqCanAcceptVec.last.take(params.numEnq)).map { case (a, b) => a && b } 831ff3fcdf1Sxiaofeibao-xjtu ) 832ff3fcdf1Sxiaofeibao-xjtu private val othersValidCntDeq1 = PopCount( 833ff3fcdf1Sxiaofeibao-xjtu validVec.drop(params.numEnq).zip(deqCanAcceptVec.last.drop(params.numEnq)).map { case (a, b) => a && b } 834ff3fcdf1Sxiaofeibao-xjtu ) 835ff3fcdf1Sxiaofeibao-xjtu protected val deqCanAcceptVecEnq: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 836ff3fcdf1Sxiaofeibao-xjtu io.enq.map(_.bits.fuType).map(fuType => 837ff3fcdf1Sxiaofeibao-xjtu FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))) 838ff3fcdf1Sxiaofeibao-xjtu } 839ff3fcdf1Sxiaofeibao-xjtu protected val enqValidCntDeq0 = PopCount(io.enq.map(_.fire).zip(deqCanAcceptVecEnq(0)).map { case (a, b) => a && b }) 840ff3fcdf1Sxiaofeibao-xjtu protected val enqValidCntDeq1 = PopCount(io.enq.map(_.fire).zip(deqCanAcceptVecEnq.last).map { case (a, b) => a && b }) 84144b4e5f5Sxiaofeibao-xjtu io.validCntDeqVec.head := RegNext(enqEntryValidCntDeq0 +& othersValidCntDeq0 - io.deqDelay.head.fire) // validCntDeqVec(0) 84244b4e5f5Sxiaofeibao-xjtu io.validCntDeqVec.last := RegNext(enqEntryValidCntDeq1 +& othersValidCntDeq1 - io.deqDelay.last.fire) // validCntDeqVec(1) 8435db4956bSzhanglyGit io.status.leftVec(0) := validVec.drop(params.numEnq).reduce(_ & _) 844730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 8455db4956bSzhanglyGit io.status.leftVec(i + 1) := othersValidCnt === (params.numEntries - params.numEnq - (i + 1)).U 846730cfbc0SXuan Hu } 8475778f950Ssinsanction private val othersLeftOneCaseVec = Wire(Vec(params.numEntries - params.numEnq, UInt((params.numEntries - params.numEnq).W))) 8485778f950Ssinsanction othersLeftOneCaseVec.zipWithIndex.foreach { case (leftone, i) => 8495778f950Ssinsanction leftone := ~(1.U((params.numEntries - params.numEnq).W) << i) 8505778f950Ssinsanction } 8515778f950Ssinsanction private val othersLeftOne = othersLeftOneCaseVec.map(_ === VecInit(validVec.drop(params.numEnq)).asUInt).reduce(_ | _) 8525778f950Ssinsanction private val othersCanotIn = othersLeftOne || validVec.drop(params.numEnq).reduce(_ & _) 8535778f950Ssinsanction 8545778f950Ssinsanction io.enq.foreach(_.ready := !othersCanotIn || !enqHasValid) 855f4d8f008SHaojin Tang io.status.empty := !Cat(validVec).orR 8565778f950Ssinsanction io.status.full := othersCanotIn 85756bcaed7SHaojin Tang io.status.validCnt := PopCount(validVec) 858bf35baadSXuan Hu 859bf35baadSXuan Hu protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 860c38df446SzhanglyGit Mux1H(wakeupFuLatencyMaps(deqPortIdx) map { case (k, v) => (fuType(k.id), v.U) }) 861bf35baadSXuan Hu } 86289740385Ssinsanction 863de7754bfSsinsanction // issue perf counter 864e986c5deSXuan Hu // enq count 865e986c5deSXuan Hu XSPerfAccumulate("enq_valid_cnt", PopCount(io.enq.map(_.fire))) 866e986c5deSXuan Hu XSPerfAccumulate("enq_fire_cnt", PopCount(io.enq.map(_.fire))) 867ff3fcdf1Sxiaofeibao-xjtu XSPerfAccumulate("enq_alu_fire_cnt", PopCount(io.enq.map { case enq => enq.fire && FuType.isAlu(enq.bits.fuType) })) 868ff3fcdf1Sxiaofeibao-xjtu XSPerfAccumulate("enq_brh_fire_cnt", PopCount(io.enq.map { case enq => enq.fire && FuType.isBrh(enq.bits.fuType) })) 869ff3fcdf1Sxiaofeibao-xjtu XSPerfAccumulate("deqDelay0_fire_cnt", PopCount(io.deqDelay.head.fire)) 870ff3fcdf1Sxiaofeibao-xjtu XSPerfAccumulate("deqDelay1_fire_cnt", PopCount(io.deqDelay.last.fire)) 871e986c5deSXuan Hu // valid count 872e986c5deSXuan Hu XSPerfHistogram("enq_entry_valid_cnt", enqEntryValidCnt, true.B, 0, params.numEnq + 1) 87362a2cb19SXuan Hu XSPerfHistogram("other_entry_valid_cnt", othersValidCnt, true.B, 0, params.numEntries - params.numEnq + 1) 874e986c5deSXuan Hu XSPerfHistogram("valid_cnt", PopCount(validVec), true.B, 0, params.numEntries + 1) 87556bcaed7SHaojin Tang // only split when more than 1 func type 87656bcaed7SHaojin Tang if (params.getFuCfgs.size > 0) { 87756bcaed7SHaojin Tang for (t <- FuType.functionNameMap.keys) { 87856bcaed7SHaojin Tang val fuName = FuType.functionNameMap(t) 87956bcaed7SHaojin Tang if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 88056bcaed7SHaojin Tang XSPerfHistogram(s"valid_cnt_hist_futype_${fuName}", PopCount(validVec.zip(fuTypeVec).map { case (v, fu) => v && fu === t.U }), true.B, 0, params.numEntries, 1) 88156bcaed7SHaojin Tang } 88256bcaed7SHaojin Tang } 88356bcaed7SHaojin Tang } 884de7754bfSsinsanction // ready instr count 885e986c5deSXuan Hu private val readyEntriesCnt = PopCount(validVec.zip(canIssueVec).map(x => x._1 && x._2)) 886e986c5deSXuan Hu XSPerfHistogram("ready_cnt", readyEntriesCnt, true.B, 0, params.numEntries + 1) 887e986c5deSXuan Hu // only split when more than 1 func type 888e986c5deSXuan Hu if (params.getFuCfgs.size > 0) { 88989740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 89089740385Ssinsanction val fuName = FuType.functionNameMap(t) 89189740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 892e986c5deSXuan Hu XSPerfHistogram(s"ready_cnt_hist_futype_${fuName}", PopCount(validVec.zip(canIssueVec).zip(fuTypeVec).map { case ((v, c), fu) => v && c && fu === t.U }), true.B, 0, params.numEntries, 1) 893e986c5deSXuan Hu } 89489740385Ssinsanction } 89589740385Ssinsanction } 89689740385Ssinsanction 897de7754bfSsinsanction // deq instr count 898fb445e8dSzhanglyGit XSPerfAccumulate("issue_instr_pre_count", PopCount(deqBeforeDly.map(_.valid))) 899fb445e8dSzhanglyGit XSPerfHistogram("issue_instr_pre_count_hist", PopCount(deqBeforeDly.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 900e986c5deSXuan Hu XSPerfAccumulate("issue_instr_count", PopCount(io.deqDelay.map(_.valid))) 901e986c5deSXuan Hu XSPerfHistogram("issue_instr_count_hist", PopCount(io.deqDelay.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 902de7754bfSsinsanction 903de7754bfSsinsanction // deq instr data source count 904fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_reg", deqBeforeDly.map{ deq => 90589740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 90689740385Ssinsanction }.reduce(_ +& _)) 907fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_bypass", deqBeforeDly.map{ deq => 90889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 90989740385Ssinsanction }.reduce(_ +& _)) 910fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_forward", deqBeforeDly.map{ deq => 91189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 91289740385Ssinsanction }.reduce(_ +& _)) 913fb445e8dSzhanglyGit XSPerfAccumulate("issue_datasource_noreg", deqBeforeDly.map{ deq => 914de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 915de7754bfSsinsanction }.reduce(_ +& _)) 91689740385Ssinsanction 917fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_reg_hist", deqBeforeDly.map{ deq => 91889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 919e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 920fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_bypass_hist", deqBeforeDly.map{ deq => 92189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 922e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 923fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_forward_hist", deqBeforeDly.map{ deq => 92489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 925e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 926fb445e8dSzhanglyGit XSPerfHistogram("issue_datasource_noreg_hist", deqBeforeDly.map{ deq => 927de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 928e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 92989740385Ssinsanction 930de7754bfSsinsanction // deq instr data source count for each futype 93189740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 93289740385Ssinsanction val fuName = FuType.functionNameMap(t) 93389740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 934fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_reg_futype_${fuName}", deqBeforeDly.map{ deq => 93589740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 93689740385Ssinsanction }.reduce(_ +& _)) 937fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_bypass_futype_${fuName}", deqBeforeDly.map{ deq => 93889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 93989740385Ssinsanction }.reduce(_ +& _)) 940fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_forward_futype_${fuName}", deqBeforeDly.map{ deq => 94189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 94289740385Ssinsanction }.reduce(_ +& _)) 943fb445e8dSzhanglyGit XSPerfAccumulate(s"issue_datasource_noreg_futype_${fuName}", deqBeforeDly.map{ deq => 944de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 945de7754bfSsinsanction }.reduce(_ +& _)) 94689740385Ssinsanction 947fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_reg_hist_futype_${fuName}", deqBeforeDly.map{ deq => 94889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 949e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 950fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_bypass_hist_futype_${fuName}", deqBeforeDly.map{ deq => 95189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 952e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 953fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_forward_hist_futype_${fuName}", deqBeforeDly.map{ deq => 95489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 955e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 956fb445e8dSzhanglyGit XSPerfHistogram(s"issue_datasource_noreg_hist_futype_${fuName}", deqBeforeDly.map{ deq => 957de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 958e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 95989740385Ssinsanction } 96089740385Ssinsanction } 961730cfbc0SXuan Hu} 962730cfbc0SXuan Hu 963730cfbc0SXuan Huclass IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 964730cfbc0SXuan Hu val fastMatch = UInt(backendParams.LduCnt.W) 965730cfbc0SXuan Hu val fastImm = UInt(12.W) 966730cfbc0SXuan Hu} 967730cfbc0SXuan Hu 968d456387eSHaojin Tangclass IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO 969730cfbc0SXuan Hu 970730cfbc0SXuan Huclass IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 971730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 972730cfbc0SXuan Hu{ 973730cfbc0SXuan Hu io.suggestName("none") 974730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 975730cfbc0SXuan Hu 976fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => { 97729dbac5aSsinsanction deq.bits.common.pc.foreach(_ := DontCare) 9785db4956bSzhanglyGit deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 9795db4956bSzhanglyGit deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 9805db4956bSzhanglyGit deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 981730cfbc0SXuan Hu deq.bits.common.predictInfo.foreach(x => { 982d8a24b06SzhanglyGit x.target := DontCare 9835db4956bSzhanglyGit x.taken := deqEntryVec(i).bits.payload.pred_taken 984730cfbc0SXuan Hu }) 985730cfbc0SXuan Hu // for std 9865db4956bSzhanglyGit deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 987730cfbc0SXuan Hu // for i2f 9885db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 989730cfbc0SXuan Hu }} 990730cfbc0SXuan Hu} 991730cfbc0SXuan Hu 992730cfbc0SXuan Huclass IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 993730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 994730cfbc0SXuan Hu{ 995fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => { 9965db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 9975db4956bSzhanglyGit deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 9985db4956bSzhanglyGit deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 9992d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 1000730cfbc0SXuan Hu }} 1001730cfbc0SXuan Hu} 1002730cfbc0SXuan Hu 100360f0c5aeSxiaofeibaoclass IssueQueueFpImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 100460f0c5aeSxiaofeibao extends IssueQueueImp(wrapper) 100560f0c5aeSxiaofeibao{ 100660f0c5aeSxiaofeibao deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => { 100760f0c5aeSxiaofeibao deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 100860f0c5aeSxiaofeibao deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 100960f0c5aeSxiaofeibao deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 101060f0c5aeSxiaofeibao deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 101160f0c5aeSxiaofeibao }} 101260f0c5aeSxiaofeibao} 101360f0c5aeSxiaofeibao 1014730cfbc0SXuan Huclass IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 1015fd490615Sweiding liu val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO(params.isVecMemIQ))) 1016e07131b2Ssinsanction 1017e07131b2Ssinsanction // TODO: is still needed? 1018730cfbc0SXuan Hu val checkWait = new Bundle { 1019730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr) 1020730cfbc0SXuan Hu val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 1021730cfbc0SXuan Hu } 1022596af5d2SHaojin Tang val loadFastMatch = Output(Vec(params.LdExuCnt, new IssueQueueLoadBundle)) 1023e07131b2Ssinsanction 1024e07131b2Ssinsanction // load wakeup 1025596af5d2SHaojin Tang val loadWakeUp = Input(Vec(params.LdExuCnt, ValidIO(new DynInst()))) 10262d270511Ssinsanction 10272d270511Ssinsanction // vector 10282d270511Ssinsanction val sqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new SqPtr)) 10292d270511Ssinsanction val lqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new LqPtr)) 1030730cfbc0SXuan Hu} 1031730cfbc0SXuan Hu 1032730cfbc0SXuan Huclass IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 1033730cfbc0SXuan Hu val memIO = Some(new IssueQueueMemBundle) 1034730cfbc0SXuan Hu} 1035730cfbc0SXuan Hu 1036730cfbc0SXuan Huclass IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 1037730cfbc0SXuan Hu extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 1038730cfbc0SXuan Hu 1039c758aa7fSsinsanction require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.HyuCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ, " + 1040b133b458SXuan Hu s"StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 10418a66c02cSXuan Hu println(s"[IssueQueueMemAddrImp] StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 1042730cfbc0SXuan Hu 1043730cfbc0SXuan Hu io.suggestName("none") 1044730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 1045730cfbc0SXuan Hu private val memIO = io.memIO.get 1046730cfbc0SXuan Hu 1047853cd2d8SHaojin Tang memIO.loadFastMatch := 0.U.asTypeOf(memIO.loadFastMatch) // TODO: is still needed? 1048853cd2d8SHaojin Tang 10495db4956bSzhanglyGit entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 1050730cfbc0SXuan Hu slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 10515db4956bSzhanglyGit slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 1052*38f78b5dSxiaofeibao-xjtu slowResp.bits.sqIdx.foreach( _ := memIO.feedbackIO(i).feedbackSlow.bits.sqIdx) 1053f08a822fSzhanglyGit slowResp.bits.resp := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RespType.success, RespType.block) 10548d29ec32Sczw slowResp.bits.fuType := DontCare 1055730cfbc0SXuan Hu } 1056730cfbc0SXuan Hu 1057d3372210SzhanglyGit entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 1058d3372210SzhanglyGit fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 1059d3372210SzhanglyGit fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 1060*38f78b5dSxiaofeibao-xjtu fastResp.bits.sqIdx.foreach( _ := memIO.feedbackIO(i).feedbackFast.bits.sqIdx) 1061d3372210SzhanglyGit fastResp.bits.resp := Mux(memIO.feedbackIO(i).feedbackFast.bits.hit, RespType.success, RespType.block) 1062d3372210SzhanglyGit fastResp.bits.fuType := DontCare 1063d3372210SzhanglyGit } 1064d3372210SzhanglyGit 1065596af5d2SHaojin Tang // load wakeup 1066596af5d2SHaojin Tang val loadWakeUpIter = memIO.loadWakeUp.iterator 1067596af5d2SHaojin Tang io.wakeupToIQ.zip(params.exuBlockParams).zipWithIndex.foreach { case ((wakeup, param), i) => 1068596af5d2SHaojin Tang if (param.hasLoadExu) { 1069596af5d2SHaojin Tang require(wakeUpQueues(i).isEmpty) 1070a01a12bbSHaojin Tang val uop = loadWakeUpIter.next() 1071a01a12bbSHaojin Tang 10725f8b6c9eSsinceforYy wakeup.valid := GatedValidRegNext(uop.fire) 1073dd461822Ssinsanction wakeup.bits.rfWen := (if (params.writeIntRf) GatedValidRegNext(uop.bits.rfWen && uop.fire) else false.B) 1074dd461822Ssinsanction wakeup.bits.fpWen := (if (params.writeFpRf) GatedValidRegNext(uop.bits.fpWen && uop.fire) else false.B) 1075dd461822Ssinsanction wakeup.bits.vecWen := (if (params.writeVecRf) GatedValidRegNext(uop.bits.vecWen && uop.fire) else false.B) 1076dd461822Ssinsanction wakeup.bits.v0Wen := (if (params.writeV0Rf) GatedValidRegNext(uop.bits.v0Wen && uop.fire) else false.B) 1077dd461822Ssinsanction wakeup.bits.vlWen := (if (params.writeVlRf) GatedValidRegNext(uop.bits.vlWen && uop.fire) else false.B) 107841dbbdfdSsinceforYy wakeup.bits.pdest := RegEnable(uop.bits.pdest, uop.fire) 1079596af5d2SHaojin Tang wakeup.bits.loadDependency.foreach(_ := 0.U) // this is correct for load only 1080a01a12bbSHaojin Tang 1081dd461822Ssinsanction wakeup.bits.rfWenCopy .foreach(_.foreach(_ := (if (params.writeIntRf) GatedValidRegNext(uop.bits.rfWen && uop.fire) else false.B))) 1082dd461822Ssinsanction wakeup.bits.fpWenCopy .foreach(_.foreach(_ := (if (params.writeFpRf) GatedValidRegNext(uop.bits.fpWen && uop.fire) else false.B))) 1083dd461822Ssinsanction wakeup.bits.vecWenCopy.foreach(_.foreach(_ := (if (params.writeVecRf) GatedValidRegNext(uop.bits.vecWen && uop.fire) else false.B))) 1084dd461822Ssinsanction wakeup.bits.v0WenCopy .foreach(_.foreach(_ := (if (params.writeV0Rf) GatedValidRegNext(uop.bits.v0Wen && uop.fire) else false.B))) 1085dd461822Ssinsanction wakeup.bits.vlWenCopy .foreach(_.foreach(_ := (if (params.writeVlRf) GatedValidRegNext(uop.bits.vlWen && uop.fire) else false.B))) 108641dbbdfdSsinceforYy wakeup.bits.pdestCopy .foreach(_.foreach(_ := RegEnable(uop.bits.pdest, uop.fire))) 1087a01a12bbSHaojin Tang wakeup.bits.loadDependencyCopy.foreach(x => x := 0.U.asTypeOf(x)) // this is correct for load only 1088a01a12bbSHaojin Tang 1089a01a12bbSHaojin Tang wakeup.bits.is0Lat := 0.U 1090596af5d2SHaojin Tang } 1091596af5d2SHaojin Tang } 1092596af5d2SHaojin Tang require(!loadWakeUpIter.hasNext) 1093596af5d2SHaojin Tang 1094fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 10951548ca99SHaojin Tang deq.bits.common.loadWaitBit.foreach(_ := deqEntryVec(i).bits.payload.loadWaitBit) 10961548ca99SHaojin Tang deq.bits.common.waitForRobIdx.foreach(_ := deqEntryVec(i).bits.payload.waitForRobIdx) 109759a1db8aSHaojin Tang deq.bits.common.storeSetHit.foreach(_ := deqEntryVec(i).bits.payload.storeSetHit) 109859a1db8aSHaojin Tang deq.bits.common.loadWaitStrict.foreach(_ := deqEntryVec(i).bits.payload.loadWaitStrict) 109959a1db8aSHaojin Tang deq.bits.common.ssid.foreach(_ := deqEntryVec(i).bits.payload.ssid) 11005db4956bSzhanglyGit deq.bits.common.sqIdx.get := deqEntryVec(i).bits.payload.sqIdx 11015db4956bSzhanglyGit deq.bits.common.lqIdx.get := deqEntryVec(i).bits.payload.lqIdx 1102542ae917SHaojin Tang deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 1103542ae917SHaojin Tang deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 1104730cfbc0SXuan Hu } 1105730cfbc0SXuan Hu} 11062d270511Ssinsanction 11072d270511Ssinsanctionclass IssueQueueVecMemImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 11082d270511Ssinsanction extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 11092d270511Ssinsanction 1110e07131b2Ssinsanction require((params.VlduCnt + params.VstuCnt) > 0, "IssueQueueVecMemImp can only be instance of VecMem IQ") 1111e07131b2Ssinsanction println(s"[IssueQueueVecMemImp] VlduCnt: ${params.VlduCnt}, VstuCnt: ${params.VstuCnt}") 11122d270511Ssinsanction 11132d270511Ssinsanction io.suggestName("none") 11142d270511Ssinsanction override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 11152d270511Ssinsanction private val memIO = io.memIO.get 11162d270511Ssinsanction 111799944b79Ssinsanction require(params.numExu == 1, "VecMem IssueQueue has not supported more than 1 deq ports") 111899944b79Ssinsanction 11192d270511Ssinsanction for (i <- entries.io.enq.indices) { 11202d270511Ssinsanction entries.io.enq(i).bits.status match { case enqData => 1121e07131b2Ssinsanction enqData.vecMem.get.sqIdx := s0_enqBits(i).sqIdx 1122e07131b2Ssinsanction enqData.vecMem.get.lqIdx := s0_enqBits(i).lqIdx 11236dbb4e08SXuan Hu // MemAddrIQ also handle vector insts 11246dbb4e08SXuan Hu enqData.vecMem.get.numLsElem := s0_enqBits(i).numLsElem 1125b0186a50Sweiding liu enqData.blocked := false.B 1126e07131b2Ssinsanction } 11272d270511Ssinsanction } 11282d270511Ssinsanction 11292d270511Ssinsanction entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 11302d270511Ssinsanction slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 11312d270511Ssinsanction slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 1132*38f78b5dSxiaofeibao-xjtu slowResp.bits.sqIdx.get := memIO.feedbackIO(i).feedbackSlow.bits.sqIdx 11336462eb1cSzhanglyGit slowResp.bits.resp := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RespType.success, RespType.block) 11342d270511Ssinsanction slowResp.bits.fuType := DontCare 1135*38f78b5dSxiaofeibao-xjtu slowResp.bits.uopIdx.get := DontCare 11362d270511Ssinsanction } 11372d270511Ssinsanction 1138d3372210SzhanglyGit entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 1139d3372210SzhanglyGit fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 1140d3372210SzhanglyGit fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 1141*38f78b5dSxiaofeibao-xjtu fastResp.bits.sqIdx.get := memIO.feedbackIO(i).feedbackFast.bits.sqIdx 1142d3372210SzhanglyGit fastResp.bits.resp := Mux(memIO.feedbackIO(i).feedbackFast.bits.hit, RespType.success, RespType.block) 1143d3372210SzhanglyGit fastResp.bits.fuType := DontCare 1144*38f78b5dSxiaofeibao-xjtu fastResp.bits.uopIdx.get := DontCare 11452d270511Ssinsanction } 11462d270511Ssinsanction 1147aa2bcc31SzhanglyGit entries.io.vecMemIn.get.sqDeqPtr := memIO.sqDeqPtr.get 1148aa2bcc31SzhanglyGit entries.io.vecMemIn.get.lqDeqPtr := memIO.lqDeqPtr.get 1149aa2bcc31SzhanglyGit 1150fb445e8dSzhanglyGit deqBeforeDly.zipWithIndex.foreach { case (deq, i) => 1151e07131b2Ssinsanction deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.status.vecMem.get.sqIdx) 1152e07131b2Ssinsanction deq.bits.common.lqIdx.foreach(_ := deqEntryVec(i).bits.status.vecMem.get.lqIdx) 11536dbb4e08SXuan Hu deq.bits.common.numLsElem.foreach(_ := deqEntryVec(i).bits.status.vecMem.get.numLsElem) 1154e07131b2Ssinsanction if (params.isVecLduIQ) { 11552d270511Ssinsanction deq.bits.common.ftqIdx.get := deqEntryVec(i).bits.payload.ftqPtr 11562d270511Ssinsanction deq.bits.common.ftqOffset.get := deqEntryVec(i).bits.payload.ftqOffset 11572d270511Ssinsanction } 11582d270511Ssinsanction deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 11592d270511Ssinsanction deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 11602d270511Ssinsanction deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 11612d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 11622d270511Ssinsanction } 11637e471bf8SXuan Hu 11647e471bf8SXuan Hu io.vecLoadIssueResp.foreach(dontTouch(_)) 11652d270511Ssinsanction} 1166