1730cfbc0SXuan Hupackage xiangshan.backend.issue 2730cfbc0SXuan Hu 383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 796e858baSXuan Huimport utility.{GTimer, HasCircularQueuePtrHelper} 8765e58c6Ssinsanctionimport utils._ 9730cfbc0SXuan Huimport xiangshan._ 10c0be7f33SXuan Huimport xiangshan.backend.Bundles._ 11f4dcd9fcSsinsanctionimport xiangshan.backend.decode.{ImmUnion, Imm_LUI_LOAD} 12730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 13c0be7f33SXuan Huimport xiangshan.backend.datapath.DataSource 148e208fb5SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType} 152d270511Ssinsanctionimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr} 162d270511Ssinsanctionimport xiangshan.backend.rob.RobPtr 1759ef6009Sxiaofeibao-xjtuimport xiangshan.backend.datapath.NewPipelineConnect 18730cfbc0SXuan Hu 19730cfbc0SXuan Huclass IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 201ca4a39dSXuan Hu override def shouldBeInlined: Boolean = false 211ca4a39dSXuan Hu 22730cfbc0SXuan Hu implicit val iqParams = params 2383ba63b3SXuan Hu lazy val module: IssueQueueImp = iqParams.schdType match { 24730cfbc0SXuan Hu case IntScheduler() => new IssueQueueIntImp(this) 25730cfbc0SXuan Hu case VfScheduler() => new IssueQueueVfImp(this) 262d270511Ssinsanction case MemScheduler() => 272d270511Ssinsanction if (iqParams.StdCnt == 0 && !iqParams.isVecMemIQ) new IssueQueueMemAddrImp(this) 282d270511Ssinsanction else if (iqParams.isVecMemIQ) new IssueQueueVecMemImp(this) 29730cfbc0SXuan Hu else new IssueQueueIntImp(this) 30730cfbc0SXuan Hu case _ => null 31730cfbc0SXuan Hu } 32730cfbc0SXuan Hu} 33730cfbc0SXuan Hu 3456bcaed7SHaojin Tangclass IssueQueueStatusBundle(numEnq: Int, numEntries: Int) extends Bundle { 35730cfbc0SXuan Hu val empty = Output(Bool()) 36730cfbc0SXuan Hu val full = Output(Bool()) 3756bcaed7SHaojin Tang val validCnt = Output(UInt(log2Ceil(numEntries).W)) 38730cfbc0SXuan Hu val leftVec = Output(Vec(numEnq + 1, Bool())) 39730cfbc0SXuan Hu} 40730cfbc0SXuan Hu 415db4956bSzhanglyGitclass IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends EntryDeqRespBundle 42730cfbc0SXuan Hu 43730cfbc0SXuan Huclass IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 44bf35baadSXuan Hu // Inputs 45730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 46730cfbc0SXuan Hu val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 47730cfbc0SXuan Hu 48730cfbc0SXuan Hu val deqResp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 49730cfbc0SXuan Hu val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 50730cfbc0SXuan Hu val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 518a66c02cSXuan Hu val finalIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 528a66c02cSXuan Hu val memAddrIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle)))) 532e0a7dc5Sfdy val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 54dd970561SzhanglyGit val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 55c0be7f33SXuan Hu val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 56c0be7f33SXuan Hu val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 577a96cc7fSHaojin Tang val og0Cancel = Input(ExuOH(backendParams.numExu)) 587a96cc7fSHaojin Tang val og1Cancel = Input(ExuOH(backendParams.numExu)) 596810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO)) 60bf35baadSXuan Hu 61bf35baadSXuan Hu // Outputs 62bf35baadSXuan Hu val deq: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle 63c0be7f33SXuan Hu val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 6456bcaed7SHaojin Tang val status = Output(new IssueQueueStatusBundle(params.numEnq, params.numEntries)) 6514b3c65cSHaojin Tang // val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 66bf35baadSXuan Hu 6759ef6009Sxiaofeibao-xjtu val fromCancelNetwork = Flipped(params.genIssueDecoupledBundle) 6859ef6009Sxiaofeibao-xjtu val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType 69bf35baadSXuan Hu def allWakeUp = wakeupFromWB ++ wakeupFromIQ 70730cfbc0SXuan Hu} 71730cfbc0SXuan Hu 72730cfbc0SXuan Huclass IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 73730cfbc0SXuan Hu extends LazyModuleImp(wrapper) 74730cfbc0SXuan Hu with HasXSParameter { 75730cfbc0SXuan Hu 76c0be7f33SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 77e63b0a03SXuan Hu s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 78e63b0a03SXuan Hu s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " + 79730cfbc0SXuan Hu s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 80730cfbc0SXuan Hu 81730cfbc0SXuan Hu require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 82730cfbc0SXuan Hu val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 83730cfbc0SXuan Hu val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 84730cfbc0SXuan Hu val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 85730cfbc0SXuan Hu val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 86239413e5SXuan Hu val fuLatencyMaps : Seq[Map[FuType.OHType, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 878e208fb5SXuan Hu 888e208fb5SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}") 89730cfbc0SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 90730cfbc0SXuan Hu lazy val io = IO(new IssueQueueIO()) 91*8d081717Sszw_kaixin if(backendParams.debugEn) { 92730cfbc0SXuan Hu dontTouch(io.deq) 93730cfbc0SXuan Hu dontTouch(io.deqResp) 94*8d081717Sszw_kaixin } 95730cfbc0SXuan Hu // Modules 965db4956bSzhanglyGit 975db4956bSzhanglyGit val entries = Module(new Entries) 98730cfbc0SXuan Hu val subDeqPolicies = deqFuCfgs.map(x => if (x.nonEmpty) Some(Module(new DeqPolicy())) else None) 99dd970561SzhanglyGit val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 100dd970561SzhanglyGit val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 101dd970561SzhanglyGit val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 102dd970561SzhanglyGit val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 103dd970561SzhanglyGit val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 104dd970561SzhanglyGit val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 105730cfbc0SXuan Hu 106493a9370SHaojin Tang class WakeupQueueFlush extends Bundle { 107493a9370SHaojin Tang val redirect = ValidIO(new Redirect) 1086810d1e8Ssfencevma val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, new LoadCancelIO) 109493a9370SHaojin Tang val og0Fail = Output(Bool()) 110493a9370SHaojin Tang val og1Fail = Output(Bool()) 111493a9370SHaojin Tang } 112493a9370SHaojin Tang 113493a9370SHaojin Tang private def flushFunc(exuInput: ExuInput, flush: WakeupQueueFlush, stage: Int): Bool = { 114493a9370SHaojin Tang val redirectFlush = exuInput.robIdx.needFlush(flush.redirect) 1150f55a0d3SHaojin Tang val loadDependencyFlush = LoadShouldCancel(exuInput.loadDependency, flush.ldCancel) 116493a9370SHaojin Tang val ogFailFlush = stage match { 117493a9370SHaojin Tang case 1 => flush.og0Fail 118493a9370SHaojin Tang case 2 => flush.og1Fail 119493a9370SHaojin Tang case _ => false.B 120493a9370SHaojin Tang } 1210f55a0d3SHaojin Tang redirectFlush || loadDependencyFlush || ogFailFlush 1220f55a0d3SHaojin Tang } 1230f55a0d3SHaojin Tang 1240f55a0d3SHaojin Tang private def modificationFunc(exuInput: ExuInput): ExuInput = { 1250f55a0d3SHaojin Tang val newExuInput = WireDefault(exuInput) 1260f55a0d3SHaojin Tang newExuInput.loadDependency match { 1270f55a0d3SHaojin Tang case Some(deps) => deps.zip(exuInput.loadDependency.get).foreach(x => x._1 := x._2 << 1) 1280f55a0d3SHaojin Tang case None => 1290f55a0d3SHaojin Tang } 1300f55a0d3SHaojin Tang newExuInput 131493a9370SHaojin Tang } 132493a9370SHaojin Tang 133493a9370SHaojin Tang val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, WakeupQueueFlush]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module( 1340f55a0d3SHaojin Tang new MultiWakeupQueue(new ExuInput(x), new WakeupQueueFlush, x.fuLatancySet, flushFunc, modificationFunc) 135bf35baadSXuan Hu ))} 136bf35baadSXuan Hu 137dd970561SzhanglyGit val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 138dd970561SzhanglyGit val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 139dd970561SzhanglyGit val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 140dd970561SzhanglyGit val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 141dd970561SzhanglyGit val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 142dd970561SzhanglyGit val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 143ea0f92d8Sczw val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 144de93b508SzhanglyGit val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 145de93b508SzhanglyGit val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 146730cfbc0SXuan Hu val s0_enqValidVec = io.enq.map(_.valid) 147730cfbc0SXuan Hu val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 148730cfbc0SXuan Hu val s0_enqNotFlush = !io.flush.valid 149730cfbc0SXuan Hu val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 1505db4956bSzhanglyGit val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) //enqValid && notFlush && enqReady 151730cfbc0SXuan Hu 152730cfbc0SXuan Hu 153730cfbc0SXuan Hu // One deq port only need one special deq policy 154730cfbc0SXuan Hu val subDeqSelValidVec: Seq[Option[Vec[Bool]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, Bool())))) 155730cfbc0SXuan Hu val subDeqSelOHVec: Seq[Option[Vec[UInt]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, UInt(params.numEntries.W))))) 156730cfbc0SXuan Hu 157730cfbc0SXuan Hu val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 158730cfbc0SXuan Hu val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 159730cfbc0SXuan Hu val finalDeqOH: IndexedSeq[UInt] = (finalDeqSelValidVec zip finalDeqSelOHVec).map { case (valid, oh) => 160730cfbc0SXuan Hu Mux(valid, oh, 0.U) 161730cfbc0SXuan Hu } 162730cfbc0SXuan Hu val finalDeqMask: UInt = finalDeqOH.reduce(_ | _) 163730cfbc0SXuan Hu 164730cfbc0SXuan Hu val deqRespVec = io.deqResp 165730cfbc0SXuan Hu 1665db4956bSzhanglyGit val validVec = VecInit(entries.io.valid.asBools) 1675db4956bSzhanglyGit val canIssueVec = VecInit(entries.io.canIssue.asBools) 1685db4956bSzhanglyGit val clearVec = VecInit(entries.io.clear.asBools) 1695db4956bSzhanglyGit val deqFirstIssueVec = VecInit(entries.io.deq.map(_.isFirstIssue)) 170730cfbc0SXuan Hu 1715db4956bSzhanglyGit val dataSources: Vec[Vec[DataSource]] = entries.io.dataSources 172c0be7f33SXuan Hu val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqOH.map(oh => Mux1H(oh, dataSources))) 173c0be7f33SXuan Hu // (entryIdx)(srcIdx)(exuIdx) 1747a96cc7fSHaojin Tang val wakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = entries.io.srcWakeUpL1ExuOH 1755db4956bSzhanglyGit val srcTimer: Option[Vec[Vec[UInt]]] = entries.io.srcTimer 176c0be7f33SXuan Hu 177c0be7f33SXuan Hu // (deqIdx)(srcIdx)(exuIdx) 1787a96cc7fSHaojin Tang val finalWakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 179ea46c302SXuan Hu val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 180cdac04a3SXuan Hu 1815db4956bSzhanglyGit val wakeupEnqSrcStateBypassFromWB: Vec[Vec[UInt]] = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 1820f55a0d3SHaojin Tang val wakeupEnqSrcStateBypassFromIQ: Vec[Vec[UInt]] = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 1830f55a0d3SHaojin Tang val srcWakeUpEnqByIQMatrix = Wire(Vec(params.numEnq, Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())))) 1840f55a0d3SHaojin Tang 1850f55a0d3SHaojin Tang val shiftedWakeupLoadDependencyByIQVec = Wire(Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W)))) 1860f55a0d3SHaojin Tang shiftedWakeupLoadDependencyByIQVec 1870f55a0d3SHaojin Tang .zip(io.wakeupFromIQ.map(_.bits.loadDependency)) 1880f55a0d3SHaojin Tang .zip(params.wakeUpInExuSources.map(_.name)).foreach { 1890f55a0d3SHaojin Tang case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach { 1900f55a0d3SHaojin Tang case ((dep, originalDep), deqPortIdx) => 191a9ffe60aSHaojin Tang if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx) 19283ba63b3SXuan Hu dep := (originalDep << 1).asUInt | 1.U 1930f55a0d3SHaojin Tang else 1940f55a0d3SHaojin Tang dep := originalDep << 1 1950f55a0d3SHaojin Tang } 1960f55a0d3SHaojin Tang } 1970f55a0d3SHaojin Tang 198730cfbc0SXuan Hu for (i <- io.enq.indices) { 199730cfbc0SXuan Hu for (j <- s0_enqBits(i).srcType.indices) { 20059ef6009Sxiaofeibao-xjtu wakeupEnqSrcStateBypassFromWB(i)(j) := Cat( 20183ba63b3SXuan Hu io.wakeupFromWB.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head).toSeq 202730cfbc0SXuan Hu ).orR 203730cfbc0SXuan Hu } 204730cfbc0SXuan Hu } 2055db4956bSzhanglyGit 20659ef6009Sxiaofeibao-xjtu for (i <- io.enq.indices) { 2070f55a0d3SHaojin Tang val numLsrc = s0_enqBits(i).srcType.size.min(entries.io.enq(i).bits.status.srcType.size) 20859ef6009Sxiaofeibao-xjtu for (j <- s0_enqBits(i).srcType.indices) { 2090f55a0d3SHaojin Tang val ldTransCancel = if (params.numWakeupFromIQ > 0 && j < numLsrc) Mux( 2100f55a0d3SHaojin Tang srcWakeUpEnqByIQMatrix(i)(j).asUInt.orR, 21183ba63b3SXuan Hu Mux1H(srcWakeUpEnqByIQMatrix(i)(j), io.wakeupFromIQ.map(_.bits.loadDependency).map(dep => LoadShouldCancel(Some(dep), io.ldCancel)).toSeq), 2120f55a0d3SHaojin Tang false.B 2130f55a0d3SHaojin Tang ) else false.B 21459ef6009Sxiaofeibao-xjtu wakeupEnqSrcStateBypassFromIQ(i)(j) := Cat( 21583ba63b3SXuan Hu io.wakeupFromIQ.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head).toSeq 2160f55a0d3SHaojin Tang ).orR && !ldTransCancel 21759ef6009Sxiaofeibao-xjtu } 21859ef6009Sxiaofeibao-xjtu } 2190f55a0d3SHaojin Tang 22059ef6009Sxiaofeibao-xjtu srcWakeUpEnqByIQMatrix.zipWithIndex.foreach { case (wakeups: Vec[Vec[Bool]], i) => 22159ef6009Sxiaofeibao-xjtu if (io.wakeupFromIQ.isEmpty) { 22259ef6009Sxiaofeibao-xjtu wakeups := 0.U.asTypeOf(wakeups) 22359ef6009Sxiaofeibao-xjtu } else { 22459ef6009Sxiaofeibao-xjtu val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = io.wakeupFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 22559ef6009Sxiaofeibao-xjtu bundle.bits.wakeUp(s0_enqBits(i).psrc.take(params.numRegSrc) zip s0_enqBits(i).srcType.take(params.numRegSrc), bundle.valid) 22683ba63b3SXuan Hu ).toIndexedSeq.transpose 22759ef6009Sxiaofeibao-xjtu wakeups := wakeupVec.map(x => VecInit(x)) 22859ef6009Sxiaofeibao-xjtu } 22959ef6009Sxiaofeibao-xjtu } 230730cfbc0SXuan Hu 2315db4956bSzhanglyGit val fuTypeVec = Wire(Vec(params.numEntries, FuType())) 2325db4956bSzhanglyGit val transEntryDeqVec = Wire(Vec(params.numEnq, ValidIO(new EntryBundle))) 2335db4956bSzhanglyGit val deqEntryVec = Wire(Vec(params.numDeq, ValidIO(new EntryBundle))) 2345db4956bSzhanglyGit val transSelVec = Wire(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W))) 2355db4956bSzhanglyGit 23640283787Ssinsanction val enqEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt(params.numEnq.W)))) 23740283787Ssinsanction val othersEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt((params.numEntries - params.numEnq).W)))) 23840283787Ssinsanction val subDeqPolicyRequest = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 23940283787Ssinsanction val canIssueMergeAllBusy = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 24040283787Ssinsanction 241bf35baadSXuan Hu /** 2425db4956bSzhanglyGit * Connection of [[entries]] 243bf35baadSXuan Hu */ 2445db4956bSzhanglyGit entries.io match { case entriesIO: EntriesIO => 2455db4956bSzhanglyGit entriesIO.flush <> io.flush 2465db4956bSzhanglyGit entriesIO.wakeUpFromWB := io.wakeupFromWB 2475db4956bSzhanglyGit entriesIO.wakeUpFromIQ := io.wakeupFromIQ 2485db4956bSzhanglyGit entriesIO.og0Cancel := io.og0Cancel 2495db4956bSzhanglyGit entriesIO.og1Cancel := io.og1Cancel 2500f55a0d3SHaojin Tang entriesIO.ldCancel := io.ldCancel 2515db4956bSzhanglyGit entriesIO.enq.zipWithIndex.foreach { case (enq: ValidIO[EntryBundle], i) => 252730cfbc0SXuan Hu enq.valid := s0_doEnqSelValidVec(i) 2535db4956bSzhanglyGit val numLsrc = s0_enqBits(i).srcType.size.min(enq.bits.status.srcType.size) 2545db4956bSzhanglyGit for(j <- 0 until numLsrc) { 2555db4956bSzhanglyGit enq.bits.status.srcState(j) := s0_enqBits(i).srcState(j) | 2565db4956bSzhanglyGit wakeupEnqSrcStateBypassFromWB(i)(j) | 2575db4956bSzhanglyGit wakeupEnqSrcStateBypassFromIQ(i)(j) 2585db4956bSzhanglyGit enq.bits.status.psrc(j) := s0_enqBits(i).psrc(j) 2595db4956bSzhanglyGit enq.bits.status.srcType(j) := s0_enqBits(i).srcType(j) 260bc7d6943SzhanglyGit enq.bits.status.dataSources(j).value := Mux(wakeupEnqSrcStateBypassFromIQ(i)(j).asBool, DataSource.forward, s0_enqBits(i).dataSource(j).value) 26196e858baSXuan Hu enq.bits.payload.debugInfo.enqRsTime := GTimer() 262730cfbc0SXuan Hu } 2635db4956bSzhanglyGit enq.bits.status.fuType := s0_enqBits(i).fuType 2645db4956bSzhanglyGit enq.bits.status.robIdx := s0_enqBits(i).robIdx 2652d270511Ssinsanction enq.bits.status.uopIdx.foreach(_ := s0_enqBits(i).uopIdx) 2665db4956bSzhanglyGit enq.bits.status.issueTimer := "b11".U 2675db4956bSzhanglyGit enq.bits.status.deqPortIdx := 0.U 2685db4956bSzhanglyGit enq.bits.status.issued := false.B 2695db4956bSzhanglyGit enq.bits.status.firstIssue := false.B 2705db4956bSzhanglyGit enq.bits.status.blocked := false.B 2715db4956bSzhanglyGit enq.bits.status.srcWakeUpL1ExuOH match { 2725db4956bSzhanglyGit case Some(value) => value.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 27359ef6009Sxiaofeibao-xjtu case ((exuOH, wakeUpByIQOH), srcIdx) => 27459ef6009Sxiaofeibao-xjtu when(wakeUpByIQOH.asUInt.orR) { 2757a96cc7fSHaojin Tang exuOH := Mux1H(wakeUpByIQOH, io.wakeupFromIQ.toSeq.map(x => MathUtils.IntToOH(x.bits.exuIdx).U(backendParams.numExu.W))) 27659ef6009Sxiaofeibao-xjtu }.otherwise { 277bc7d6943SzhanglyGit exuOH := s0_enqBits(i).l1ExuOH(srcIdx) 27859ef6009Sxiaofeibao-xjtu } 27959ef6009Sxiaofeibao-xjtu } 280c0be7f33SXuan Hu case None => 281c0be7f33SXuan Hu } 2825db4956bSzhanglyGit enq.bits.status.srcTimer match { 2835db4956bSzhanglyGit case Some(value) => value.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 28459ef6009Sxiaofeibao-xjtu case ((timer, wakeUpByIQOH), srcIdx) => 28559ef6009Sxiaofeibao-xjtu when(wakeUpByIQOH.asUInt.orR) { 28659ef6009Sxiaofeibao-xjtu timer := 1.U.asTypeOf(timer) 28759ef6009Sxiaofeibao-xjtu }.otherwise { 288bc7d6943SzhanglyGit timer := Mux(s0_enqBits(i).dataSource(srcIdx).value === DataSource.bypass, 2.U.asTypeOf(timer), 0.U.asTypeOf(timer)) 28959ef6009Sxiaofeibao-xjtu } 29059ef6009Sxiaofeibao-xjtu } 291cdac04a3SXuan Hu case None => 292cdac04a3SXuan Hu } 2930f55a0d3SHaojin Tang enq.bits.status.srcLoadDependency.foreach(_.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 2940f55a0d3SHaojin Tang case ((dep, wakeUpByIQOH), srcIdx) => 2950f55a0d3SHaojin Tang dep := Mux(wakeUpByIQOH.asUInt.orR, Mux1H(wakeUpByIQOH, shiftedWakeupLoadDependencyByIQVec), 0.U.asTypeOf(dep)) 2960f55a0d3SHaojin Tang }) 2975db4956bSzhanglyGit enq.bits.imm := s0_enqBits(i).imm 2985db4956bSzhanglyGit enq.bits.payload := s0_enqBits(i) 299730cfbc0SXuan Hu } 3005db4956bSzhanglyGit entriesIO.deq.zipWithIndex.foreach { case (deq, i) => 30140283787Ssinsanction deq.enqEntryOldestSel := enqEntryOldestSel(i) 30240283787Ssinsanction deq.othersEntryOldestSel := othersEntryOldestSel(i) 30340283787Ssinsanction deq.subDeqPolicyRequest := { if (subDeqPolicies(i).nonEmpty) subDeqPolicyRequest(i) else 0.U } 30440283787Ssinsanction deq.subDeqSelOH := subDeqSelOHVec(i).getOrElse(Seq(0.U, 0.U)) 30540283787Ssinsanction deq.finalDeqSelOH.valid := finalDeqSelValidVec(i) 30640283787Ssinsanction deq.finalDeqSelOH.bits := finalDeqSelOHVec(i) 307730cfbc0SXuan Hu } 3085db4956bSzhanglyGit entriesIO.deqResp.zipWithIndex.foreach { case (deqResp, i) => 309730cfbc0SXuan Hu deqResp.valid := io.deqResp(i).valid 3105db4956bSzhanglyGit deqResp.bits.robIdx := io.deqResp(i).bits.robIdx 311887f9c3dSzhanglinjuan deqResp.bits.uopIdx := io.deqResp(i).bits.uopIdx 312730cfbc0SXuan Hu deqResp.bits.dataInvalidSqIdx := io.deqResp(i).bits.dataInvalidSqIdx 313730cfbc0SXuan Hu deqResp.bits.respType := io.deqResp(i).bits.respType 3148d29ec32Sczw deqResp.bits.rfWen := io.deqResp(i).bits.rfWen 3158d29ec32Sczw deqResp.bits.fuType := io.deqResp(i).bits.fuType 316730cfbc0SXuan Hu } 3175db4956bSzhanglyGit entriesIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 318730cfbc0SXuan Hu og0Resp.valid := io.og0Resp(i).valid 3195db4956bSzhanglyGit og0Resp.bits.robIdx := io.og0Resp(i).bits.robIdx 320887f9c3dSzhanglinjuan og0Resp.bits.uopIdx := io.og0Resp(i).bits.uopIdx 321730cfbc0SXuan Hu og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 322730cfbc0SXuan Hu og0Resp.bits.respType := io.og0Resp(i).bits.respType 3238d29ec32Sczw og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 3248d29ec32Sczw og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 325730cfbc0SXuan Hu } 3265db4956bSzhanglyGit entriesIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 327730cfbc0SXuan Hu og1Resp.valid := io.og1Resp(i).valid 3285db4956bSzhanglyGit og1Resp.bits.robIdx := io.og1Resp(i).bits.robIdx 329887f9c3dSzhanglinjuan og1Resp.bits.uopIdx := io.og1Resp(i).bits.uopIdx 330730cfbc0SXuan Hu og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 331730cfbc0SXuan Hu og1Resp.bits.respType := io.og1Resp(i).bits.respType 3328d29ec32Sczw og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 3338d29ec32Sczw og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 334730cfbc0SXuan Hu } 3350f55a0d3SHaojin Tang entriesIO.finalIssueResp.foreach(_.zipWithIndex.foreach { case (finalIssueResp, i) => 3360f55a0d3SHaojin Tang finalIssueResp := io.finalIssueResp.get(i) 3370f55a0d3SHaojin Tang }) 338e8800897SXuan Hu entriesIO.memAddrIssueResp.foreach(_.zipWithIndex.foreach { case (memAddrIssueResp, i) => 339e8800897SXuan Hu memAddrIssueResp := io.memAddrIssueResp.get(i) 340e8800897SXuan Hu }) 3415db4956bSzhanglyGit transEntryDeqVec := entriesIO.transEntryDeqVec 34240283787Ssinsanction deqEntryVec := entriesIO.deq.map(_.deqEntry) 3435db4956bSzhanglyGit fuTypeVec := entriesIO.fuType 3445db4956bSzhanglyGit transSelVec := entriesIO.transSelVec 345730cfbc0SXuan Hu } 346730cfbc0SXuan Hu 347730cfbc0SXuan Hu 3485db4956bSzhanglyGit s0_enqSelValidVec := s0_enqValidVec.zip(io.enq).map{ case (enqValid, enq) => enqValid && enq.ready} 349730cfbc0SXuan Hu 3505db4956bSzhanglyGit protected val commonAccept: UInt = Cat(fuTypeVec.map(fuType => 35166e57d91Ssinsanction FuType.FuTypeOrR(fuType, commonFuCfgs.map(_.fuType)) 352730cfbc0SXuan Hu ).reverse) 353730cfbc0SXuan Hu 354730cfbc0SXuan Hu // if deq port can accept the uop 355730cfbc0SXuan Hu protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 35666e57d91Ssinsanction Cat(fuTypeVec.map(fuType => 35766e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType)) 35866e57d91Ssinsanction ).reverse) 359730cfbc0SXuan Hu } 360730cfbc0SXuan Hu 361730cfbc0SXuan Hu protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3625db4956bSzhanglyGit fuTypeVec.map(fuType => 36366e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))) // C+E0 C+E1 364730cfbc0SXuan Hu } 365730cfbc0SXuan Hu 36640283787Ssinsanction canIssueMergeAllBusy.zipWithIndex.foreach { case (merge, i) => 36740283787Ssinsanction val mergeFuBusy = { 36840283787Ssinsanction if (fuBusyTableWrite(i).nonEmpty) canIssueVec.asUInt & (~fuBusyTableMask(i)) 36940283787Ssinsanction else canIssueVec.asUInt 37040283787Ssinsanction } 37140283787Ssinsanction val mergeIntWbBusy = { 37240283787Ssinsanction if (intWbBusyTableRead(i).nonEmpty) mergeFuBusy & (~intWbBusyTableMask(i)) 37340283787Ssinsanction else mergeFuBusy 37440283787Ssinsanction } 37540283787Ssinsanction val mergeVfWbBusy = { 37640283787Ssinsanction if (vfWbBusyTableRead(i).nonEmpty) mergeIntWbBusy & (~vfWbBusyTableMask(i)) 37740283787Ssinsanction else mergeIntWbBusy 37840283787Ssinsanction } 37940283787Ssinsanction merge := mergeVfWbBusy 38040283787Ssinsanction } 38140283787Ssinsanction 38240283787Ssinsanction subDeqPolicyRequest.zipWithIndex.foreach { case (req, i) => 38340283787Ssinsanction req := canIssueMergeAllBusy(i) & VecInit(deqCanAcceptVec(i)).asUInt 38440283787Ssinsanction } 38540283787Ssinsanction 3865db4956bSzhanglyGit subDeqPolicies.zipWithIndex.foreach { case (dpOption: Option[DeqPolicy], i) => 387730cfbc0SXuan Hu if (dpOption.nonEmpty) { 388730cfbc0SXuan Hu val dp = dpOption.get 38940283787Ssinsanction dp.io.request := subDeqPolicyRequest(i) 390730cfbc0SXuan Hu subDeqSelValidVec(i).get := dp.io.deqSelOHVec.map(oh => oh.valid) 391730cfbc0SXuan Hu subDeqSelOHVec(i).get := dp.io.deqSelOHVec.map(oh => oh.bits) 392730cfbc0SXuan Hu } 393730cfbc0SXuan Hu } 394730cfbc0SXuan Hu 3958db72c71Sfdy protected val enqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 3968db72c71Sfdy io.enq.map(_.bits.fuType).map(fuType => 39766e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))) // C+E0 C+E1 3988db72c71Sfdy } 3998db72c71Sfdy 4005db4956bSzhanglyGit protected val transCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 4015db4956bSzhanglyGit transEntryDeqVec.map(_.bits.status.fuType).zip(transEntryDeqVec.map(_.valid)).map{ case (fuType, valid) => 40266e57d91Ssinsanction FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType)) && valid } 4038db72c71Sfdy } 4048db72c71Sfdy 40540283787Ssinsanction enqEntryOldestSel.zipWithIndex.foreach { case (sel, deqIdx) => 40640283787Ssinsanction sel := NewAgeDetector(numEntries = params.numEnq, 4075db4956bSzhanglyGit enq = VecInit(enqCanAcceptVec(deqIdx).zip(s0_doEnqSelValidVec).map{ case (doCanAccept, valid) => doCanAccept && valid }), 4085db4956bSzhanglyGit clear = VecInit(clearVec.take(params.numEnq)), 40940283787Ssinsanction canIssue = canIssueMergeAllBusy(deqIdx)(params.numEnq-1, 0) 4105db4956bSzhanglyGit ) 4118db72c71Sfdy } 4128db72c71Sfdy 41340283787Ssinsanction othersEntryOldestSel.zipWithIndex.foreach { case (sel, deqIdx) => 41440283787Ssinsanction sel := AgeDetector(numEntries = params.numEntries - params.numEnq, 4155db4956bSzhanglyGit enq = VecInit(transCanAcceptVec(deqIdx).zip(transSelVec).map{ case(doCanAccept, transSel) => Mux(doCanAccept, transSel, 0.U)}), 4165db4956bSzhanglyGit deq = VecInit(clearVec.drop(params.numEnq)).asUInt, 41740283787Ssinsanction canIssue = canIssueMergeAllBusy(deqIdx)(params.numEntries-1, params.numEnq) 4185db4956bSzhanglyGit ) 4195db4956bSzhanglyGit } 4205db4956bSzhanglyGit 42140283787Ssinsanction finalDeqSelValidVec.head := othersEntryOldestSel.head.valid || enqEntryOldestSel.head.valid || subDeqSelValidVec.head.getOrElse(Seq(false.B)).head 42240283787Ssinsanction finalDeqSelOHVec.head := Mux(othersEntryOldestSel.head.valid, Cat(othersEntryOldestSel.head.bits, 0.U((params.numEnq).W)), 42340283787Ssinsanction Mux(enqEntryOldestSel.head.valid, Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldestSel.head.bits), 4245db4956bSzhanglyGit subDeqSelOHVec.head.getOrElse(Seq(0.U)).head)) 4258db72c71Sfdy 426730cfbc0SXuan Hu if (params.numDeq == 2) { 427d1bb5687SHaojin Tang params.getFuCfgs.contains(FuConfig.FakeHystaCfg) match { 428d1bb5687SHaojin Tang case true => 429d1bb5687SHaojin Tang finalDeqSelValidVec(1) := false.B 430d1bb5687SHaojin Tang finalDeqSelOHVec(1) := 0.U.asTypeOf(finalDeqSelOHVec(1)) 431d1bb5687SHaojin Tang case false => 43240283787Ssinsanction val chooseOthersOldest = othersEntryOldestSel(1).valid && Cat(othersEntryOldestSel(1).bits, 0.U((params.numEnq).W)) =/= finalDeqSelOHVec.head 43340283787Ssinsanction val chooseEnqOldest = enqEntryOldestSel(1).valid && Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldestSel(1).bits) =/= finalDeqSelOHVec.head 4348db72c71Sfdy val choose1stSub = subDeqSelOHVec(1).getOrElse(Seq(0.U)).head =/= finalDeqSelOHVec.head 4358db72c71Sfdy 4368db72c71Sfdy finalDeqSelValidVec(1) := MuxCase(subDeqSelValidVec(1).getOrElse(Seq(false.B)).last, Seq( 43740283787Ssinsanction (chooseOthersOldest) -> othersEntryOldestSel(1).valid, 43840283787Ssinsanction (chooseEnqOldest) -> enqEntryOldestSel(1).valid, 4398db72c71Sfdy (choose1stSub) -> subDeqSelValidVec(1).getOrElse(Seq(false.B)).head) 4408db72c71Sfdy ) 4418db72c71Sfdy finalDeqSelOHVec(1) := MuxCase(subDeqSelOHVec(1).getOrElse(Seq(0.U)).last, Seq( 44240283787Ssinsanction (chooseOthersOldest) -> Cat(othersEntryOldestSel(1).bits, 0.U((params.numEnq).W)), 44340283787Ssinsanction (chooseEnqOldest) -> Cat(0.U((params.numEntries-params.numEnq).W), enqEntryOldestSel(1).bits), 4448db72c71Sfdy (choose1stSub) -> subDeqSelOHVec(1).getOrElse(Seq(0.U)).head) 4458db72c71Sfdy ) 446730cfbc0SXuan Hu } 447d1bb5687SHaojin Tang } 448730cfbc0SXuan Hu 449de93b508SzhanglyGit //fuBusyTable 4505db4956bSzhanglyGit fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.foreach { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 451de93b508SzhanglyGit if(busyTableWrite.nonEmpty) { 452de93b508SzhanglyGit val btwr = busyTableWrite.get 453de93b508SzhanglyGit val btrd = busyTableRead.get 454dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 455dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 456dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 457de93b508SzhanglyGit btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 4585db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 459de93b508SzhanglyGit fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 460ea0f92d8Sczw } 461de93b508SzhanglyGit else { 4628d29ec32Sczw fuBusyTableMask(i) := 0.U(params.numEntries.W) 463ea0f92d8Sczw } 4642e0a7dc5Sfdy } 4652e0a7dc5Sfdy 466dd970561SzhanglyGit //wbfuBusyTable write 4675db4956bSzhanglyGit intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 468dd970561SzhanglyGit if(busyTableWrite.nonEmpty) { 469dd970561SzhanglyGit val btwr = busyTableWrite.get 470dd970561SzhanglyGit val bt = busyTable.get 471dd970561SzhanglyGit val dq = deqResp.get 472dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 473dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 474dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 475dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 476dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 477dd970561SzhanglyGit } 478dd970561SzhanglyGit } 479dd970561SzhanglyGit 4805db4956bSzhanglyGit vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 481dd970561SzhanglyGit if (busyTableWrite.nonEmpty) { 482dd970561SzhanglyGit val btwr = busyTableWrite.get 483dd970561SzhanglyGit val bt = busyTable.get 484dd970561SzhanglyGit val dq = deqResp.get 485dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 486dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 487dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 488dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 489dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 490dd970561SzhanglyGit } 491dd970561SzhanglyGit } 492dd970561SzhanglyGit 493de93b508SzhanglyGit //wbfuBusyTable read 4945db4956bSzhanglyGit intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 495de93b508SzhanglyGit if(busyTableRead.nonEmpty) { 496de93b508SzhanglyGit val btrd = busyTableRead.get 497de93b508SzhanglyGit val bt = busyTable.get 498de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 4995db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 500de93b508SzhanglyGit intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 501de93b508SzhanglyGit } 502de93b508SzhanglyGit else { 503de93b508SzhanglyGit intWbBusyTableMask(i) := 0.U(params.numEntries.W) 504de93b508SzhanglyGit } 505de93b508SzhanglyGit } 5065db4956bSzhanglyGit vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 507de93b508SzhanglyGit if (busyTableRead.nonEmpty) { 508de93b508SzhanglyGit val btrd = busyTableRead.get 509de93b508SzhanglyGit val bt = busyTable.get 510de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 5115db4956bSzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeVec 512de93b508SzhanglyGit vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 513de93b508SzhanglyGit } 514de93b508SzhanglyGit else { 515de93b508SzhanglyGit vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 516de93b508SzhanglyGit } 517ea0f92d8Sczw } 518ea0f92d8Sczw 519bf35baadSXuan Hu wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 520bc7d6943SzhanglyGit val og0RespEach = io.og0Resp(i) 521bc7d6943SzhanglyGit val og1RespEach = io.og1Resp(i) 522bf35baadSXuan Hu wakeUpQueueOption.foreach { 523bf35baadSXuan Hu wakeUpQueue => 524493a9370SHaojin Tang val flush = Wire(new WakeupQueueFlush) 525493a9370SHaojin Tang flush.redirect := io.flush 5260f55a0d3SHaojin Tang flush.ldCancel := io.ldCancel 527493a9370SHaojin Tang flush.og0Fail := io.og0Resp(i).valid && RSFeedbackType.isBlocked(io.og0Resp(i).bits.respType) 528493a9370SHaojin Tang flush.og1Fail := io.og1Resp(i).valid && RSFeedbackType.isBlocked(io.og1Resp(i).bits.respType) 529493a9370SHaojin Tang wakeUpQueue.io.flush := flush 5300e502183SHaojin Tang wakeUpQueue.io.enq.valid := io.deq(i).fire && !io.deq(i).bits.common.needCancel(io.og0Cancel, io.og1Cancel) && { 53127f42defSHaojin Tang io.deq(i).bits.common.rfWen.getOrElse(false.B) && io.deq(i).bits.common.pdest =/= 0.U || 53227f42defSHaojin Tang io.deq(i).bits.common.fpWen.getOrElse(false.B) || 53327f42defSHaojin Tang io.deq(i).bits.common.vecWen.getOrElse(false.B) 5341526754bSXuan Hu } 535bf35baadSXuan Hu wakeUpQueue.io.enq.bits.uop := io.deq(i).bits.common 536bf35baadSXuan Hu wakeUpQueue.io.enq.bits.lat := getDeqLat(i, io.deq(i).bits.common.fuType) 537493a9370SHaojin Tang wakeUpQueue.io.og0IssueFail := flush.og0Fail 538493a9370SHaojin Tang wakeUpQueue.io.og1IssueFail := flush.og1Fail 539bf35baadSXuan Hu } 540bf35baadSXuan Hu } 541bf35baadSXuan Hu 542730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 543730cfbc0SXuan Hu deq.valid := finalDeqSelValidVec(i) 544730cfbc0SXuan Hu deq.bits.addrOH := finalDeqSelOHVec(i) 545730cfbc0SXuan Hu deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 546730cfbc0SXuan Hu deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 5475db4956bSzhanglyGit deq.bits.common.fuType := deqEntryVec(i).bits.payload.fuType 5485db4956bSzhanglyGit deq.bits.common.fuOpType := deqEntryVec(i).bits.payload.fuOpType 5495db4956bSzhanglyGit deq.bits.common.rfWen.foreach(_ := deqEntryVec(i).bits.payload.rfWen) 5505db4956bSzhanglyGit deq.bits.common.fpWen.foreach(_ := deqEntryVec(i).bits.payload.fpWen) 5515db4956bSzhanglyGit deq.bits.common.vecWen.foreach(_ := deqEntryVec(i).bits.payload.vecWen) 5525db4956bSzhanglyGit deq.bits.common.flushPipe.foreach(_ := deqEntryVec(i).bits.payload.flushPipe) 5535db4956bSzhanglyGit deq.bits.common.pdest := deqEntryVec(i).bits.payload.pdest 5545db4956bSzhanglyGit deq.bits.common.robIdx := deqEntryVec(i).bits.payload.robIdx 555c0be7f33SXuan Hu deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach { 556c0be7f33SXuan Hu case ((sink, source), srcIdx) => 557c0be7f33SXuan Hu sink.value := Mux( 5585db4956bSzhanglyGit SrcType.isXp(deqEntryVec(i).bits.payload.srcType(srcIdx)) && deqEntryVec(i).bits.payload.psrc(srcIdx) === 0.U, 559c0be7f33SXuan Hu DataSource.none, 560c0be7f33SXuan Hu source.value 561c0be7f33SXuan Hu ) 5625d2b9cadSXuan Hu } 563670870b3SXuan Hu if (deq.bits.common.l1ExuOH.size > 0) { 564bc7d6943SzhanglyGit if (params.hasIQWakeUp) { 5657a96cc7fSHaojin Tang deq.bits.common.l1ExuOH := finalWakeUpL1ExuOH.get(i) 566bc7d6943SzhanglyGit } else { 5677a96cc7fSHaojin Tang deq.bits.common.l1ExuOH := deqEntryVec(i).bits.payload.l1ExuOH.take(deq.bits.common.l1ExuOH.length) 568bc7d6943SzhanglyGit } 569670870b3SXuan Hu } 570ea46c302SXuan Hu deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i)) 5710f55a0d3SHaojin Tang deq.bits.common.loadDependency.foreach(_ := deqEntryVec(i).bits.status.mergedLoadDependency.get) 57204c99ecaSXuan Hu deq.bits.common.deqLdExuIdx.foreach(_ := params.backendParam.getLdExuIdx(deq.bits.exuParams).U) 5732fb6a709SHaojin Tang deq.bits.common.src := DontCare 5749d8d7860SXuan Hu deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 5755d2b9cadSXuan Hu 5765db4956bSzhanglyGit deq.bits.rf.zip(deqEntryVec(i).bits.payload.psrc).foreach { case (rf, psrc) => 577730cfbc0SXuan Hu rf.foreach(_.addr := psrc) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 578730cfbc0SXuan Hu } 5795db4956bSzhanglyGit deq.bits.rf.zip(deqEntryVec(i).bits.payload.srcType).foreach { case (rf, srcType) => 580730cfbc0SXuan Hu rf.foreach(_.srcType := srcType) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 581730cfbc0SXuan Hu } 5825db4956bSzhanglyGit deq.bits.srcType.zip(deqEntryVec(i).bits.payload.srcType).foreach { case (sink, source) => 583730cfbc0SXuan Hu sink := source 584730cfbc0SXuan Hu } 5855db4956bSzhanglyGit deq.bits.immType := deqEntryVec(i).bits.payload.selImm 586765e58c6Ssinsanction 58740283787Ssinsanction if (params.inIntSchd && params.AluCnt > 0) { 588765e58c6Ssinsanction // dirty code for lui+addi(w) fusion 58940283787Ssinsanction val isLuiAddiFusion = deqEntryVec(i).bits.payload.isLUI32 59040283787Ssinsanction val luiImm = Cat(deqEntryVec(i).bits.payload.lsrc(1), deqEntryVec(i).bits.payload.lsrc(0), deqEntryVec(i).bits.imm(ImmUnion.maxLen - 1, 0)) 59140283787Ssinsanction deq.bits.common.imm := Mux(isLuiAddiFusion, ImmUnion.LUI32.toImm32(luiImm), deqEntryVec(i).bits.imm) 592765e58c6Ssinsanction } 59340283787Ssinsanction else if (params.inMemSchd && params.LduCnt > 0) { 594f4dcd9fcSsinsanction // dirty code for fused_lui_load 59540283787Ssinsanction val isLuiLoadFusion = SrcType.isNotReg(deqEntryVec(i).bits.payload.srcType(0)) && FuType.isLoad(deqEntryVec(i).bits.payload.fuType) 59640283787Ssinsanction deq.bits.common.imm := Mux(isLuiLoadFusion, Imm_LUI_LOAD().getLuiImm(deqEntryVec(i).bits.payload), deqEntryVec(i).bits.imm) 59740283787Ssinsanction } 59840283787Ssinsanction else { 59940283787Ssinsanction deq.bits.common.imm := deqEntryVec(i).bits.imm 600f4dcd9fcSsinsanction } 60196e858baSXuan Hu 60296e858baSXuan Hu deq.bits.common.perfDebugInfo := deqEntryVec(i).bits.payload.debugInfo 60396e858baSXuan Hu deq.bits.common.perfDebugInfo.selectTime := GTimer() 60496e858baSXuan Hu deq.bits.common.perfDebugInfo.issueTime := GTimer() + 1.U 605730cfbc0SXuan Hu } 6060f55a0d3SHaojin Tang 6070f55a0d3SHaojin Tang private val ldCancels = io.fromCancelNetwork.map(in => 6080f55a0d3SHaojin Tang LoadShouldCancel(in.bits.common.loadDependency, io.ldCancel) 6090f55a0d3SHaojin Tang ) 6100f55a0d3SHaojin Tang private val fromCancelNetworkShift = WireDefault(io.fromCancelNetwork) 6110f55a0d3SHaojin Tang fromCancelNetworkShift.zip(io.fromCancelNetwork).foreach { 6120f55a0d3SHaojin Tang case (shifted, original) => 6130f55a0d3SHaojin Tang original.ready := shifted.ready // this will not cause combinational loop 6140f55a0d3SHaojin Tang shifted.bits.common.loadDependency.foreach( 6150f55a0d3SHaojin Tang _ := original.bits.common.loadDependency.get.map(_ << 1) 6160f55a0d3SHaojin Tang ) 6170f55a0d3SHaojin Tang } 6180f55a0d3SHaojin Tang io.deqDelay.zip(fromCancelNetworkShift).zip(ldCancels).foreach { case ((deqDly, deq), ldCancel) => 61959ef6009Sxiaofeibao-xjtu NewPipelineConnect( 62059ef6009Sxiaofeibao-xjtu deq, deqDly, deqDly.valid, 6210f55a0d3SHaojin Tang deq.bits.common.robIdx.needFlush(io.flush) || ldCancel, 62259ef6009Sxiaofeibao-xjtu Option("Scheduler2DataPathPipe") 62359ef6009Sxiaofeibao-xjtu ) 62459ef6009Sxiaofeibao-xjtu } 625*8d081717Sszw_kaixin if(backendParams.debugEn) { 62659ef6009Sxiaofeibao-xjtu dontTouch(io.deqDelay) 627*8d081717Sszw_kaixin } 628bf35baadSXuan Hu io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 629e63b0a03SXuan Hu if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) { 630bf35baadSXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 631c0be7f33SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 6320f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 633e63b0a03SXuan Hu } else if (wakeUpQueues(i).nonEmpty) { 634e63b0a03SXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 635e63b0a03SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 6360f55a0d3SHaojin Tang wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency)) 637bf35baadSXuan Hu } else { 638bf35baadSXuan Hu wakeup.valid := false.B 6390f55a0d3SHaojin Tang wakeup.bits := 0.U.asTypeOf(wakeup.bits) 640bf35baadSXuan Hu } 641bf35baadSXuan Hu } 642bf35baadSXuan Hu 643730cfbc0SXuan Hu // Todo: better counter implementation 6445db4956bSzhanglyGit private val enqHasValid = validVec.take(params.numEnq).reduce(_ | _) 645e986c5deSXuan Hu private val enqEntryValidCnt = PopCount(validVec.take(params.numEnq)) 6465db4956bSzhanglyGit private val othersValidCnt = PopCount(validVec.drop(params.numEnq)) 6475db4956bSzhanglyGit io.status.leftVec(0) := validVec.drop(params.numEnq).reduce(_ & _) 648730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 6495db4956bSzhanglyGit io.status.leftVec(i + 1) := othersValidCnt === (params.numEntries - params.numEnq - (i + 1)).U 650730cfbc0SXuan Hu } 6515db4956bSzhanglyGit io.enq.foreach(_.ready := !Cat(io.status.leftVec).orR || !enqHasValid) // Todo: more efficient implementation 652f4d8f008SHaojin Tang io.status.empty := !Cat(validVec).orR 653f4d8f008SHaojin Tang io.status.full := Cat(io.status.leftVec).orR 65456bcaed7SHaojin Tang io.status.validCnt := PopCount(validVec) 655bf35baadSXuan Hu 656bf35baadSXuan Hu protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 65766e57d91Ssinsanction Mux1H(fuLatencyMaps(deqPortIdx) map { case (k, v) => (fuType(k.id), v.U) }) 658bf35baadSXuan Hu } 65989740385Ssinsanction 660de7754bfSsinsanction // issue perf counter 661e986c5deSXuan Hu // enq count 662e986c5deSXuan Hu XSPerfAccumulate("enq_valid_cnt", PopCount(io.enq.map(_.fire))) 663e986c5deSXuan Hu XSPerfAccumulate("enq_fire_cnt", PopCount(io.enq.map(_.fire))) 664e986c5deSXuan Hu // valid count 665e986c5deSXuan Hu XSPerfHistogram("enq_entry_valid_cnt", enqEntryValidCnt, true.B, 0, params.numEnq + 1) 66662a2cb19SXuan Hu XSPerfHistogram("other_entry_valid_cnt", othersValidCnt, true.B, 0, params.numEntries - params.numEnq + 1) 667e986c5deSXuan Hu XSPerfHistogram("valid_cnt", PopCount(validVec), true.B, 0, params.numEntries + 1) 66856bcaed7SHaojin Tang // only split when more than 1 func type 66956bcaed7SHaojin Tang if (params.getFuCfgs.size > 0) { 67056bcaed7SHaojin Tang for (t <- FuType.functionNameMap.keys) { 67156bcaed7SHaojin Tang val fuName = FuType.functionNameMap(t) 67256bcaed7SHaojin Tang if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 67356bcaed7SHaojin Tang XSPerfHistogram(s"valid_cnt_hist_futype_${fuName}", PopCount(validVec.zip(fuTypeVec).map { case (v, fu) => v && fu === t.U }), true.B, 0, params.numEntries, 1) 67456bcaed7SHaojin Tang } 67556bcaed7SHaojin Tang } 67656bcaed7SHaojin Tang } 677de7754bfSsinsanction // ready instr count 678e986c5deSXuan Hu private val readyEntriesCnt = PopCount(validVec.zip(canIssueVec).map(x => x._1 && x._2)) 679e986c5deSXuan Hu XSPerfHistogram("ready_cnt", readyEntriesCnt, true.B, 0, params.numEntries + 1) 680e986c5deSXuan Hu // only split when more than 1 func type 681e986c5deSXuan Hu if (params.getFuCfgs.size > 0) { 68289740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 68389740385Ssinsanction val fuName = FuType.functionNameMap(t) 68489740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 685e986c5deSXuan Hu XSPerfHistogram(s"ready_cnt_hist_futype_${fuName}", PopCount(validVec.zip(canIssueVec).zip(fuTypeVec).map { case ((v, c), fu) => v && c && fu === t.U }), true.B, 0, params.numEntries, 1) 686e986c5deSXuan Hu } 68789740385Ssinsanction } 68889740385Ssinsanction } 68989740385Ssinsanction 690de7754bfSsinsanction // deq instr count 691e986c5deSXuan Hu XSPerfAccumulate("issue_instr_pre_count", PopCount(io.deq.map(_.valid))) 692e986c5deSXuan Hu XSPerfHistogram("issue_instr_pre_count_hist", PopCount(io.deq.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 693e986c5deSXuan Hu XSPerfAccumulate("issue_instr_count", PopCount(io.deqDelay.map(_.valid))) 694e986c5deSXuan Hu XSPerfHistogram("issue_instr_count_hist", PopCount(io.deqDelay.map(_.valid)), true.B, 0, params.numDeq + 1, 1) 695de7754bfSsinsanction 696de7754bfSsinsanction // deq instr data source count 69789740385Ssinsanction XSPerfAccumulate("issue_datasource_reg", io.deq.map{ deq => 69889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 69989740385Ssinsanction }.reduce(_ +& _)) 70089740385Ssinsanction XSPerfAccumulate("issue_datasource_bypass", io.deq.map{ deq => 70189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 70289740385Ssinsanction }.reduce(_ +& _)) 70389740385Ssinsanction XSPerfAccumulate("issue_datasource_forward", io.deq.map{ deq => 70489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 70589740385Ssinsanction }.reduce(_ +& _)) 706de7754bfSsinsanction XSPerfAccumulate("issue_datasource_noreg", io.deq.map{ deq => 707de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 708de7754bfSsinsanction }.reduce(_ +& _)) 70989740385Ssinsanction 71089740385Ssinsanction XSPerfHistogram("issue_datasource_reg_hist", io.deq.map{ deq => 71189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) }) 712e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 71389740385Ssinsanction XSPerfHistogram("issue_datasource_bypass_hist", io.deq.map{ deq => 71489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) }) 715e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 71689740385Ssinsanction XSPerfHistogram("issue_datasource_forward_hist", io.deq.map{ deq => 71789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) }) 718e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 719de7754bfSsinsanction XSPerfHistogram("issue_datasource_noreg_hist", io.deq.map{ deq => 720de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) }) 721e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 72289740385Ssinsanction 723de7754bfSsinsanction // deq instr data source count for each futype 72489740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 72589740385Ssinsanction val fuName = FuType.functionNameMap(t) 72689740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 72789740385Ssinsanction XSPerfAccumulate(s"issue_datasource_reg_futype_${fuName}", io.deq.map{ deq => 72889740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 72989740385Ssinsanction }.reduce(_ +& _)) 73089740385Ssinsanction XSPerfAccumulate(s"issue_datasource_bypass_futype_${fuName}", io.deq.map{ deq => 73189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 73289740385Ssinsanction }.reduce(_ +& _)) 73389740385Ssinsanction XSPerfAccumulate(s"issue_datasource_forward_futype_${fuName}", io.deq.map{ deq => 73489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 73589740385Ssinsanction }.reduce(_ +& _)) 736de7754bfSsinsanction XSPerfAccumulate(s"issue_datasource_noreg_futype_${fuName}", io.deq.map{ deq => 737de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 738de7754bfSsinsanction }.reduce(_ +& _)) 73989740385Ssinsanction 74089740385Ssinsanction XSPerfHistogram(s"issue_datasource_reg_hist_futype_${fuName}", io.deq.map{ deq => 74189740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 742e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 74389740385Ssinsanction XSPerfHistogram(s"issue_datasource_bypass_hist_futype_${fuName}", io.deq.map{ deq => 74489740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 745e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 74689740385Ssinsanction XSPerfHistogram(s"issue_datasource_forward_hist_futype_${fuName}", io.deq.map{ deq => 74789740385Ssinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 748e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 749de7754bfSsinsanction XSPerfHistogram(s"issue_datasource_noreg_hist_futype_${fuName}", io.deq.map{ deq => 750de7754bfSsinsanction PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U }) 751e986c5deSXuan Hu }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1) 75289740385Ssinsanction } 75389740385Ssinsanction } 75489740385Ssinsanction 755de7754bfSsinsanction // cancel instr count 75689740385Ssinsanction if (params.hasIQWakeUp) { 75789740385Ssinsanction val cancelVec: Vec[Bool] = entries.io.cancel.get 75889740385Ssinsanction XSPerfAccumulate("cancel_instr_count", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2))) 75989740385Ssinsanction XSPerfHistogram("cancel_instr_hist", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2)), true.B, 0, params.numEntries, 1) 76089740385Ssinsanction for (t <- FuType.functionNameMap.keys) { 76189740385Ssinsanction val fuName = FuType.functionNameMap(t) 76289740385Ssinsanction if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) { 76389740385Ssinsanction XSPerfAccumulate(s"cancel_instr_count_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U })) 76489740385Ssinsanction XSPerfHistogram(s"cancel_instr_hist_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U }), true.B, 0, params.numEntries, 1) 76589740385Ssinsanction } 76689740385Ssinsanction } 76789740385Ssinsanction } 768730cfbc0SXuan Hu} 769730cfbc0SXuan Hu 770730cfbc0SXuan Huclass IssueQueueJumpBundle extends Bundle { 771730cfbc0SXuan Hu val pc = UInt(VAddrData().dataWidth.W) 772730cfbc0SXuan Hu} 773730cfbc0SXuan Hu 774730cfbc0SXuan Huclass IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 775730cfbc0SXuan Hu val fastMatch = UInt(backendParams.LduCnt.W) 776730cfbc0SXuan Hu val fastImm = UInt(12.W) 777730cfbc0SXuan Hu} 778730cfbc0SXuan Hu 779d456387eSHaojin Tangclass IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO 780730cfbc0SXuan Hu 781730cfbc0SXuan Huclass IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 782730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 783730cfbc0SXuan Hu{ 784730cfbc0SXuan Hu io.suggestName("none") 785730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 786730cfbc0SXuan Hu 7875db4956bSzhanglyGit if(params.needPc) { 7885db4956bSzhanglyGit entries.io.enq.zipWithIndex.foreach { case (entriesEnq, i) => 7895db4956bSzhanglyGit entriesEnq.bits.status.pc.foreach(_ := io.enq(i).bits.pc) 790730cfbc0SXuan Hu } 791730cfbc0SXuan Hu } 792730cfbc0SXuan Hu 793730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 794427cfec3SHaojin Tang deq.bits.common.pc.foreach(_ := deqEntryVec(i).bits.status.pc.get) 7955db4956bSzhanglyGit deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo) 7965db4956bSzhanglyGit deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 7975db4956bSzhanglyGit deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 798730cfbc0SXuan Hu deq.bits.common.predictInfo.foreach(x => { 799d8a24b06SzhanglyGit x.target := DontCare 8005db4956bSzhanglyGit x.taken := deqEntryVec(i).bits.payload.pred_taken 801730cfbc0SXuan Hu }) 802730cfbc0SXuan Hu // for std 8035db4956bSzhanglyGit deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 804730cfbc0SXuan Hu // for i2f 8055db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 806730cfbc0SXuan Hu }} 807730cfbc0SXuan Hu} 808730cfbc0SXuan Hu 809730cfbc0SXuan Huclass IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 810730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 811730cfbc0SXuan Hu{ 812bdda74fdSxiaofeibao-xjtu s0_enqBits.foreach{ x => 813bdda74fdSxiaofeibao-xjtu x.srcType(3) := SrcType.vp // v0: mask src 814bdda74fdSxiaofeibao-xjtu x.srcType(4) := SrcType.vp // vl&vtype 815730cfbc0SXuan Hu } 816730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 8175db4956bSzhanglyGit deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 8185db4956bSzhanglyGit deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 8195db4956bSzhanglyGit deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 8202d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 821730cfbc0SXuan Hu }} 822730cfbc0SXuan Hu} 823730cfbc0SXuan Hu 824730cfbc0SXuan Huclass IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 825730cfbc0SXuan Hu val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 826730cfbc0SXuan Hu val checkWait = new Bundle { 827730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr) 828730cfbc0SXuan Hu val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 829730cfbc0SXuan Hu } 830730cfbc0SXuan Hu val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 8312d270511Ssinsanction 8322d270511Ssinsanction // vector 8332d270511Ssinsanction val sqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new SqPtr)) 8342d270511Ssinsanction val lqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new LqPtr)) 835730cfbc0SXuan Hu} 836730cfbc0SXuan Hu 837730cfbc0SXuan Huclass IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 838730cfbc0SXuan Hu val memIO = Some(new IssueQueueMemBundle) 839730cfbc0SXuan Hu} 840730cfbc0SXuan Hu 841730cfbc0SXuan Huclass IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 842730cfbc0SXuan Hu extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 843730cfbc0SXuan Hu 844b133b458SXuan Hu require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.HyuCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ, " + 845b133b458SXuan Hu s"StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 8468a66c02cSXuan Hu println(s"[IssueQueueMemAddrImp] StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}") 847730cfbc0SXuan Hu 848730cfbc0SXuan Hu io.suggestName("none") 849730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 850730cfbc0SXuan Hu private val memIO = io.memIO.get 851730cfbc0SXuan Hu 852853cd2d8SHaojin Tang memIO.loadFastMatch := 0.U.asTypeOf(memIO.loadFastMatch) // TODO: is still needed? 853853cd2d8SHaojin Tang 854730cfbc0SXuan Hu for (i <- io.enq.indices) { 8551548ca99SHaojin Tang val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 8561548ca99SHaojin Tang val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 8571548ca99SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).valid && 8581548ca99SHaojin Tang memIO.checkWait.memWaitUpdateReq.robIdx(i).bits.value === io.enq(i).bits.waitForRobIdx.value 8591548ca99SHaojin Tang })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 8601548ca99SHaojin Tang s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 861c379dcbeSZiyue-Zhang // when have vpu 862c379dcbeSZiyue-Zhang if (params.VlduCnt > 0 || params.VstuCnt > 0) { 863c379dcbeSZiyue-Zhang s0_enqBits(i).srcType(3) := SrcType.vp // v0: mask src 864c379dcbeSZiyue-Zhang s0_enqBits(i).srcType(4) := SrcType.vp // vl&vtype 865c379dcbeSZiyue-Zhang } 866730cfbc0SXuan Hu } 867730cfbc0SXuan Hu 8685db4956bSzhanglyGit for (i <- entries.io.enq.indices) { 8695db4956bSzhanglyGit entries.io.enq(i).bits.status match { case enqData => 870de784418SXuan Hu enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 871730cfbc0SXuan Hu enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 872730cfbc0SXuan Hu enqData.mem.get.waitForStd := false.B 873730cfbc0SXuan Hu enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 874730cfbc0SXuan Hu enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 875730cfbc0SXuan Hu enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 876730cfbc0SXuan Hu } 877730cfbc0SXuan Hu 8785db4956bSzhanglyGit entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 879730cfbc0SXuan Hu slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 8805db4956bSzhanglyGit slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 881887f9c3dSzhanglinjuan slowResp.bits.uopIdx := DontCare 882d54d930bSfdy slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 883730cfbc0SXuan Hu slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 8848d29ec32Sczw slowResp.bits.rfWen := DontCare 8858d29ec32Sczw slowResp.bits.fuType := DontCare 886730cfbc0SXuan Hu } 887730cfbc0SXuan Hu 8885db4956bSzhanglyGit entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 889730cfbc0SXuan Hu fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 8905db4956bSzhanglyGit fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 891887f9c3dSzhanglinjuan fastResp.bits.uopIdx := DontCare 89243965d02SHaojin Tang fastResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackFast.bits.hit, RSFeedbackType.fuIdle, memIO.feedbackIO(i).feedbackFast.bits.sourceType) 893730cfbc0SXuan Hu fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 8948d29ec32Sczw fastResp.bits.rfWen := DontCare 8958d29ec32Sczw fastResp.bits.fuType := DontCare 896730cfbc0SXuan Hu } 897730cfbc0SXuan Hu 8985db4956bSzhanglyGit entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 8995db4956bSzhanglyGit entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 900730cfbc0SXuan Hu } 901730cfbc0SXuan Hu 902730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 9031548ca99SHaojin Tang deq.bits.common.loadWaitBit.foreach(_ := deqEntryVec(i).bits.payload.loadWaitBit) 9041548ca99SHaojin Tang deq.bits.common.waitForRobIdx.foreach(_ := deqEntryVec(i).bits.payload.waitForRobIdx) 90559a1db8aSHaojin Tang deq.bits.common.storeSetHit.foreach(_ := deqEntryVec(i).bits.payload.storeSetHit) 90659a1db8aSHaojin Tang deq.bits.common.loadWaitStrict.foreach(_ := deqEntryVec(i).bits.payload.loadWaitStrict) 90759a1db8aSHaojin Tang deq.bits.common.ssid.foreach(_ := deqEntryVec(i).bits.payload.ssid) 9085db4956bSzhanglyGit deq.bits.common.sqIdx.get := deqEntryVec(i).bits.payload.sqIdx 9095db4956bSzhanglyGit deq.bits.common.lqIdx.get := deqEntryVec(i).bits.payload.lqIdx 910542ae917SHaojin Tang deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr) 911542ae917SHaojin Tang deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset) 912c379dcbeSZiyue-Zhang // when have vpu 913c379dcbeSZiyue-Zhang if (params.VlduCnt > 0 || params.VstuCnt > 0) { 914c379dcbeSZiyue-Zhang deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 915c379dcbeSZiyue-Zhang deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 916c379dcbeSZiyue-Zhang } 917730cfbc0SXuan Hu } 918730cfbc0SXuan Hu} 9192d270511Ssinsanction 9202d270511Ssinsanctionclass IssueQueueVecMemImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 9212d270511Ssinsanction extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 9222d270511Ssinsanction 9232d270511Ssinsanction require((params.VstdCnt + params.VlduCnt + params.VstaCnt) > 0, "IssueQueueVecMemImp can only be instance of VecMem IQ") 9242d270511Ssinsanction 9252d270511Ssinsanction io.suggestName("none") 9262d270511Ssinsanction override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 9272d270511Ssinsanction private val memIO = io.memIO.get 9282d270511Ssinsanction 9292d270511Ssinsanction def selectOldUop(robIdx: Seq[RobPtr], uopIdx: Seq[UInt], valid: Seq[Bool]): Vec[Bool] = { 9302d270511Ssinsanction val compareVec = (0 until robIdx.length).map(i => (0 until i).map(j => isAfter(robIdx(j), robIdx(i)) || (robIdx(j).value === robIdx(i).value && uopIdx(i) < uopIdx(j)))) 9312d270511Ssinsanction val resultOnehot = VecInit((0 until robIdx.length).map(i => Cat((0 until robIdx.length).map(j => 9322d270511Ssinsanction (if (j < i) !valid(j) || compareVec(i)(j) 9332d270511Ssinsanction else if (j == i) valid(i) 9342d270511Ssinsanction else !valid(j) || !compareVec(j)(i)) 9352d270511Ssinsanction )).andR)) 9362d270511Ssinsanction resultOnehot 9372d270511Ssinsanction } 9382d270511Ssinsanction 9392d270511Ssinsanction val robIdxVec = entries.io.robIdx.get 9402d270511Ssinsanction val uopIdxVec = entries.io.uopIdx.get 9412d270511Ssinsanction val allEntryOldestOH = selectOldUop(robIdxVec, uopIdxVec, validVec) 9422d270511Ssinsanction 9432d270511Ssinsanction finalDeqSelValidVec.head := (allEntryOldestOH.asUInt & canIssueVec.asUInt).orR 9442d270511Ssinsanction finalDeqSelOHVec.head := allEntryOldestOH.asUInt & canIssueVec.asUInt 9452d270511Ssinsanction 9462d270511Ssinsanction if (params.isVecMemAddrIQ) { 9472d270511Ssinsanction s0_enqBits.foreach{ x => 9482d270511Ssinsanction x.srcType(3) := SrcType.vp // v0: mask src 9492d270511Ssinsanction x.srcType(4) := SrcType.vp // vl&vtype 9502d270511Ssinsanction } 9512d270511Ssinsanction 9522d270511Ssinsanction for (i <- io.enq.indices) { 9531f3d1b4dSXuan Hu s0_enqBits(i).loadWaitBit := false.B 9542d270511Ssinsanction } 9552d270511Ssinsanction 9562d270511Ssinsanction for (i <- entries.io.enq.indices) { 9572d270511Ssinsanction entries.io.enq(i).bits.status match { case enqData => 9582d270511Ssinsanction enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 9592d270511Ssinsanction enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 9602d270511Ssinsanction enqData.mem.get.waitForStd := false.B 9612d270511Ssinsanction enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 9622d270511Ssinsanction enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 9632d270511Ssinsanction enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 9642d270511Ssinsanction } 9652d270511Ssinsanction 9662d270511Ssinsanction entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 9672d270511Ssinsanction slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 9682d270511Ssinsanction slowResp.bits.robIdx := memIO.feedbackIO(i).feedbackSlow.bits.robIdx 969887f9c3dSzhanglinjuan slowResp.bits.uopIdx := DontCare 9702d270511Ssinsanction slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 9712d270511Ssinsanction slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 9722d270511Ssinsanction slowResp.bits.rfWen := DontCare 9732d270511Ssinsanction slowResp.bits.fuType := DontCare 9742d270511Ssinsanction } 9752d270511Ssinsanction 9762d270511Ssinsanction entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 9772d270511Ssinsanction fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 9782d270511Ssinsanction fastResp.bits.robIdx := memIO.feedbackIO(i).feedbackFast.bits.robIdx 979887f9c3dSzhanglinjuan fastResp.bits.uopIdx := DontCare 9802d270511Ssinsanction fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 9812d270511Ssinsanction fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 9822d270511Ssinsanction fastResp.bits.rfWen := DontCare 9832d270511Ssinsanction fastResp.bits.fuType := DontCare 9842d270511Ssinsanction } 9852d270511Ssinsanction 9862d270511Ssinsanction entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 9872d270511Ssinsanction entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 9882d270511Ssinsanction } 9892d270511Ssinsanction } 9902d270511Ssinsanction 9912d270511Ssinsanction for (i <- entries.io.enq.indices) { 9922d270511Ssinsanction entries.io.enq(i).bits.status match { case enqData => 9932d270511Ssinsanction enqData.vecMem.get.sqIdx := s0_enqBits(i).sqIdx 9942d270511Ssinsanction enqData.vecMem.get.lqIdx := s0_enqBits(i).lqIdx 9952d270511Ssinsanction } 9962d270511Ssinsanction } 9972d270511Ssinsanction 9982d270511Ssinsanction entries.io.fromLsq.get.sqDeqPtr := memIO.sqDeqPtr.get 9992d270511Ssinsanction entries.io.fromLsq.get.lqDeqPtr := memIO.lqDeqPtr.get 10002d270511Ssinsanction 10012d270511Ssinsanction io.deq.zipWithIndex.foreach { case (deq, i) => 10022d270511Ssinsanction deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx) 10032d270511Ssinsanction deq.bits.common.lqIdx.foreach(_ := deqEntryVec(i).bits.payload.lqIdx) 10042d270511Ssinsanction if (params.isVecLdAddrIQ) { 10052d270511Ssinsanction deq.bits.common.ftqIdx.get := deqEntryVec(i).bits.payload.ftqPtr 10062d270511Ssinsanction deq.bits.common.ftqOffset.get := deqEntryVec(i).bits.payload.ftqOffset 10072d270511Ssinsanction } 10082d270511Ssinsanction deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu) 10092d270511Ssinsanction deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu) 10102d270511Ssinsanction deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx) 10112d270511Ssinsanction deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop) 10122d270511Ssinsanction } 10132d270511Ssinsanction} 1014