1730cfbc0SXuan Hupackage xiangshan.backend.issue 2730cfbc0SXuan Hu 3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 4730cfbc0SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7*8e208fb5SXuan Huimport utility.HasCircularQueuePtrHelper 8bf44d649SXuan Huimport utils.OptionWrapper 9730cfbc0SXuan Huimport xiangshan._ 10c0be7f33SXuan Huimport xiangshan.backend.Bundles._ 11730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 12c0be7f33SXuan Huimport xiangshan.backend.datapath.DataSource 13*8e208fb5SXuan Huimport xiangshan.backend.fu.{FuConfig, FuType} 14*8e208fb5SXuan Huimport xiangshan.mem.{MemWaitUpdateReq, SqPtr} 15730cfbc0SXuan Hu 16730cfbc0SXuan Huclass IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 17730cfbc0SXuan Hu implicit val iqParams = params 18730cfbc0SXuan Hu lazy val module = iqParams.schdType match { 19730cfbc0SXuan Hu case IntScheduler() => new IssueQueueIntImp(this) 20730cfbc0SXuan Hu case VfScheduler() => new IssueQueueVfImp(this) 21730cfbc0SXuan Hu case MemScheduler() => if (iqParams.StdCnt == 0) new IssueQueueMemAddrImp(this) 22730cfbc0SXuan Hu else new IssueQueueIntImp(this) 23730cfbc0SXuan Hu case _ => null 24730cfbc0SXuan Hu } 25730cfbc0SXuan Hu} 26730cfbc0SXuan Hu 27730cfbc0SXuan Huclass IssueQueueStatusBundle(numEnq: Int) extends Bundle { 28730cfbc0SXuan Hu val empty = Output(Bool()) 29730cfbc0SXuan Hu val full = Output(Bool()) 30730cfbc0SXuan Hu val leftVec = Output(Vec(numEnq + 1, Bool())) 31730cfbc0SXuan Hu} 32730cfbc0SXuan Hu 33730cfbc0SXuan Huclass IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends StatusArrayDeqRespBundle 34730cfbc0SXuan Hu 35730cfbc0SXuan Huclass IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 36bf35baadSXuan Hu // Inputs 37730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 38730cfbc0SXuan Hu val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 39730cfbc0SXuan Hu 40730cfbc0SXuan Hu val deqResp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 41730cfbc0SXuan Hu val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 42730cfbc0SXuan Hu val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 432e0a7dc5Sfdy val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 44dd970561SzhanglyGit val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 45c0be7f33SXuan Hu val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 46c0be7f33SXuan Hu val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 47ea46c302SXuan Hu val og0Cancel = Input(ExuVec(backendParams.numExu)) 48ea46c302SXuan Hu val og1Cancel = Input(ExuVec(backendParams.numExu)) 49bf35baadSXuan Hu 50bf35baadSXuan Hu // Outputs 51bf35baadSXuan Hu val deq: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle 52c0be7f33SXuan Hu val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 53730cfbc0SXuan Hu val status = Output(new IssueQueueStatusBundle(params.numEnq)) 54730cfbc0SXuan Hu val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 55bf35baadSXuan Hu 56bf35baadSXuan Hu def allWakeUp = wakeupFromWB ++ wakeupFromIQ 57730cfbc0SXuan Hu} 58730cfbc0SXuan Hu 59730cfbc0SXuan Huclass IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 60730cfbc0SXuan Hu extends LazyModuleImp(wrapper) 61730cfbc0SXuan Hu with HasXSParameter { 62730cfbc0SXuan Hu 63c0be7f33SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 64bf35baadSXuan Hu s"wakeup exu sources(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 65730cfbc0SXuan Hu s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 66730cfbc0SXuan Hu 67730cfbc0SXuan Hu require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 68730cfbc0SXuan Hu val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 69730cfbc0SXuan Hu val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 70730cfbc0SXuan Hu val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 71730cfbc0SXuan Hu val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 72bf35baadSXuan Hu val fuLatencyMaps : Seq[Map[Int, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 73*8e208fb5SXuan Hu 74*8e208fb5SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}") 75730cfbc0SXuan Hu println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 76730cfbc0SXuan Hu lazy val io = IO(new IssueQueueIO()) 77730cfbc0SXuan Hu dontTouch(io.deq) 78730cfbc0SXuan Hu dontTouch(io.deqResp) 79730cfbc0SXuan Hu // Modules 80730cfbc0SXuan Hu val statusArray = Module(StatusArray(p, params)) 81730cfbc0SXuan Hu val immArray = Module(new DataArray(UInt(XLEN.W), params.numDeq, params.numEnq, params.numEntries)) 82730cfbc0SXuan Hu val payloadArray = Module(new DataArray(Output(new DynInst), params.numDeq, params.numEnq, params.numEntries)) 83730cfbc0SXuan Hu val enqPolicy = Module(new EnqPolicy) 84730cfbc0SXuan Hu val subDeqPolicies = deqFuCfgs.map(x => if (x.nonEmpty) Some(Module(new DeqPolicy())) else None) 85dd970561SzhanglyGit val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 86dd970561SzhanglyGit val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 87dd970561SzhanglyGit val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 88dd970561SzhanglyGit val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 89dd970561SzhanglyGit val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 90dd970561SzhanglyGit val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 91730cfbc0SXuan Hu 928542efa4SXuan Hu val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, ValidIO[Redirect]]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module( 93bf35baadSXuan Hu new MultiWakeupQueue( 94bf35baadSXuan Hu new ExuInput(x), 95bf35baadSXuan Hu ValidIO(new Redirect) , 96bf35baadSXuan Hu x.fuLatancySet, 97bf35baadSXuan Hu (exuInput: ExuInput, flush: ValidIO[Redirect]) => exuInput.robIdx.needFlush(flush) 98bf35baadSXuan Hu ) 99bf35baadSXuan Hu ))} 100bf35baadSXuan Hu 101dd970561SzhanglyGit val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 102dd970561SzhanglyGit val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 103dd970561SzhanglyGit val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 104dd970561SzhanglyGit val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 105dd970561SzhanglyGit val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 106dd970561SzhanglyGit val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 107ea0f92d8Sczw val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 108de93b508SzhanglyGit val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 109de93b508SzhanglyGit val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 110730cfbc0SXuan Hu val s0_enqValidVec = io.enq.map(_.valid) 111730cfbc0SXuan Hu val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 112730cfbc0SXuan Hu val s0_enqSelOHVec = Wire(Vec(params.numEnq, UInt(params.numEntries.W))) 113730cfbc0SXuan Hu val s0_enqNotFlush = !io.flush.valid 114730cfbc0SXuan Hu val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 115730cfbc0SXuan Hu val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) 1168db72c71Sfdy val s0_doEnqOH: Vec[UInt] = VecInit((s0_doEnqSelValidVec zip s0_enqSelOHVec).map { case (valid, oh) => 117730cfbc0SXuan Hu Mux(valid, oh, 0.U) 1188db72c71Sfdy }) 119730cfbc0SXuan Hu 120730cfbc0SXuan Hu val s0_enqImmValidVec = io.enq.map(enq => enq.valid) 121730cfbc0SXuan Hu val s0_enqImmVec = VecInit(io.enq.map(_.bits.imm)) 122730cfbc0SXuan Hu 123730cfbc0SXuan Hu // One deq port only need one special deq policy 124730cfbc0SXuan Hu val subDeqSelValidVec: Seq[Option[Vec[Bool]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, Bool())))) 125730cfbc0SXuan Hu val subDeqSelOHVec: Seq[Option[Vec[UInt]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, UInt(params.numEntries.W))))) 126730cfbc0SXuan Hu 127730cfbc0SXuan Hu val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 128730cfbc0SXuan Hu val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 129730cfbc0SXuan Hu val finalDeqOH: IndexedSeq[UInt] = (finalDeqSelValidVec zip finalDeqSelOHVec).map { case (valid, oh) => 130730cfbc0SXuan Hu Mux(valid, oh, 0.U) 131730cfbc0SXuan Hu } 132730cfbc0SXuan Hu val finalDeqMask: UInt = finalDeqOH.reduce(_ | _) 133730cfbc0SXuan Hu 134730cfbc0SXuan Hu val deqRespVec = io.deqResp 135730cfbc0SXuan Hu 136730cfbc0SXuan Hu val validVec = VecInit(statusArray.io.valid.asBools) 137730cfbc0SXuan Hu val canIssueVec = VecInit(statusArray.io.canIssue.asBools) 138730cfbc0SXuan Hu val clearVec = VecInit(statusArray.io.clear.asBools) 139730cfbc0SXuan Hu val deqFirstIssueVec = VecInit(statusArray.io.deq.map(_.isFirstIssue)) 140730cfbc0SXuan Hu 141c0be7f33SXuan Hu val dataSources: Vec[Vec[DataSource]] = statusArray.io.dataSources 142c0be7f33SXuan Hu val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqOH.map(oh => Mux1H(oh, dataSources))) 143c0be7f33SXuan Hu // (entryIdx)(srcIdx)(exuIdx) 144c0be7f33SXuan Hu val wakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = statusArray.io.srcWakeUpL1ExuOH 145c0be7f33SXuan Hu val wakeUpL2ExuVec: Option[Vec[Vec[Vec[Bool]]]] = statusArray.io.srcWakeUpL2ExuVec 146ea46c302SXuan Hu val srcTimer: Option[Vec[Vec[UInt]]] = statusArray.io.srcTimer 147c0be7f33SXuan Hu 148c0be7f33SXuan Hu // (deqIdx)(srcIdx)(exuIdx) 149c0be7f33SXuan Hu val finalWakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 150c0be7f33SXuan Hu val finalWakeUpL2ExuVec: Option[Vec[Vec[Vec[Bool]]]] = wakeUpL2ExuVec.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 151ea46c302SXuan Hu val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 152cdac04a3SXuan Hu 153730cfbc0SXuan Hu val wakeupEnqSrcStateBypass = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 154730cfbc0SXuan Hu for (i <- io.enq.indices) { 155730cfbc0SXuan Hu for (j <- s0_enqBits(i).srcType.indices) { 156730cfbc0SXuan Hu wakeupEnqSrcStateBypass(i)(j) := Cat( 157bf35baadSXuan Hu io.wakeupFromWB.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head) 158730cfbc0SXuan Hu ).orR 159730cfbc0SXuan Hu } 160730cfbc0SXuan Hu } 161730cfbc0SXuan Hu 162bf35baadSXuan Hu /** 163bf35baadSXuan Hu * Connection of [[statusArray]] 164bf35baadSXuan Hu */ 165730cfbc0SXuan Hu statusArray.io match { case statusArrayIO: StatusArrayIO => 166730cfbc0SXuan Hu statusArrayIO.flush <> io.flush 167bf35baadSXuan Hu statusArrayIO.wakeUpFromIQ := io.wakeupFromIQ 168ea46c302SXuan Hu statusArrayIO.og0Cancel := io.og0Cancel 169ea46c302SXuan Hu statusArrayIO.og1Cancel := io.og1Cancel 170bf35baadSXuan Hu statusArrayIO.wakeUpFromWB := io.wakeupFromWB 171730cfbc0SXuan Hu statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 172730cfbc0SXuan Hu enq.valid := s0_doEnqSelValidVec(i) 173730cfbc0SXuan Hu enq.bits.addrOH := s0_enqSelOHVec(i) 174730cfbc0SXuan Hu val numLSrc = s0_enqBits(i).srcType.size.min(enq.bits.data.srcType.size) 175730cfbc0SXuan Hu for (j <- 0 until numLSrc) { 176730cfbc0SXuan Hu enq.bits.data.srcState(j) := s0_enqBits(i).srcState(j) | wakeupEnqSrcStateBypass(i)(j) 177730cfbc0SXuan Hu enq.bits.data.psrc(j) := s0_enqBits(i).psrc(j) 178730cfbc0SXuan Hu enq.bits.data.srcType(j) := s0_enqBits(i).srcType(j) 179730cfbc0SXuan Hu } 180730cfbc0SXuan Hu enq.bits.data.robIdx := s0_enqBits(i).robIdx 181730cfbc0SXuan Hu enq.bits.data.issued := false.B 182730cfbc0SXuan Hu enq.bits.data.firstIssue := false.B 183730cfbc0SXuan Hu enq.bits.data.blocked := false.B 184c0be7f33SXuan Hu enq.bits.data.dataSources.foreach(_.value := DataSource.reg) 185c0be7f33SXuan Hu enq.bits.data.srcWakeUpL1ExuOH match { 186c0be7f33SXuan Hu case Some(value) => value := 0.U.asTypeOf(value) 187c0be7f33SXuan Hu case None => 188c0be7f33SXuan Hu } 189c0be7f33SXuan Hu enq.bits.data.srcWakeUpL2ExuVec match { 190c0be7f33SXuan Hu case Some(value) => value := 0.U.asTypeOf(value) 191c0be7f33SXuan Hu case None => 192c0be7f33SXuan Hu } 193c0be7f33SXuan Hu enq.bits.data.srcTimer match { 194cdac04a3SXuan Hu case Some(value) => value := 0.U.asTypeOf(value) 195cdac04a3SXuan Hu case None => 196cdac04a3SXuan Hu } 197730cfbc0SXuan Hu } 198730cfbc0SXuan Hu statusArrayIO.deq.zipWithIndex.foreach { case (deq, i) => 199730cfbc0SXuan Hu deq.deqSelOH.valid := finalDeqSelValidVec(i) 200730cfbc0SXuan Hu deq.deqSelOH.bits := finalDeqSelOHVec(i) 201730cfbc0SXuan Hu } 202730cfbc0SXuan Hu statusArrayIO.deqResp.zipWithIndex.foreach { case (deqResp, i) => 203730cfbc0SXuan Hu deqResp.valid := io.deqResp(i).valid 204730cfbc0SXuan Hu deqResp.bits.addrOH := io.deqResp(i).bits.addrOH 205730cfbc0SXuan Hu deqResp.bits.dataInvalidSqIdx := io.deqResp(i).bits.dataInvalidSqIdx 206730cfbc0SXuan Hu deqResp.bits.respType := io.deqResp(i).bits.respType 2078d29ec32Sczw deqResp.bits.rfWen := io.deqResp(i).bits.rfWen 2088d29ec32Sczw deqResp.bits.fuType := io.deqResp(i).bits.fuType 209730cfbc0SXuan Hu } 210730cfbc0SXuan Hu statusArrayIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 211730cfbc0SXuan Hu og0Resp.valid := io.og0Resp(i).valid 212730cfbc0SXuan Hu og0Resp.bits.addrOH := io.og0Resp(i).bits.addrOH 213730cfbc0SXuan Hu og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 214730cfbc0SXuan Hu og0Resp.bits.respType := io.og0Resp(i).bits.respType 2158d29ec32Sczw og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 2168d29ec32Sczw og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 217730cfbc0SXuan Hu } 218730cfbc0SXuan Hu statusArrayIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 219730cfbc0SXuan Hu og1Resp.valid := io.og1Resp(i).valid 220730cfbc0SXuan Hu og1Resp.bits.addrOH := io.og1Resp(i).bits.addrOH 221730cfbc0SXuan Hu og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 222730cfbc0SXuan Hu og1Resp.bits.respType := io.og1Resp(i).bits.respType 2238d29ec32Sczw og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 2248d29ec32Sczw og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 225730cfbc0SXuan Hu } 226730cfbc0SXuan Hu } 227730cfbc0SXuan Hu 228bf35baadSXuan Hu /** 229bf35baadSXuan Hu * Connection of [[immArray]] 230bf35baadSXuan Hu */ 231730cfbc0SXuan Hu val immArrayRdataVec = immArray.io.read.map(_.data) 232730cfbc0SXuan Hu immArray.io match { case immArrayIO: DataArrayIO[UInt] => 233730cfbc0SXuan Hu immArrayIO.write.zipWithIndex.foreach { case (w, i) => 234730cfbc0SXuan Hu w.en := s0_doEnqSelValidVec(i) && s0_enqImmValidVec(i) 235730cfbc0SXuan Hu w.addr := s0_enqSelOHVec(i) 236730cfbc0SXuan Hu w.data := s0_enqImmVec(i) 237730cfbc0SXuan Hu } 238730cfbc0SXuan Hu immArrayIO.read.zipWithIndex.foreach { case (r, i) => 239730cfbc0SXuan Hu r.addr := finalDeqOH(i) 240730cfbc0SXuan Hu } 241730cfbc0SXuan Hu } 242730cfbc0SXuan Hu 243bf35baadSXuan Hu /** 244bf35baadSXuan Hu * Connection of [[payloadArray]] 245bf35baadSXuan Hu */ 246730cfbc0SXuan Hu val payloadArrayRdata = Wire(Vec(params.numDeq, Output(new DynInst))) 247730cfbc0SXuan Hu payloadArray.io match { case payloadArrayIO: DataArrayIO[DynInst] => 248730cfbc0SXuan Hu payloadArrayIO.write.zipWithIndex.foreach { case (w, i) => 249730cfbc0SXuan Hu w.en := s0_doEnqSelValidVec(i) 250730cfbc0SXuan Hu w.addr := s0_enqSelOHVec(i) 251730cfbc0SXuan Hu w.data := s0_enqBits(i) 252730cfbc0SXuan Hu } 253730cfbc0SXuan Hu payloadArrayIO.read.zipWithIndex.foreach { case (r, i) => 254730cfbc0SXuan Hu r.addr := finalDeqOH(i) 255730cfbc0SXuan Hu payloadArrayRdata(i) := r.data 256730cfbc0SXuan Hu } 257730cfbc0SXuan Hu } 258730cfbc0SXuan Hu 259730cfbc0SXuan Hu val fuTypeRegVec = Reg(Vec(params.numEntries, FuType())) 260730cfbc0SXuan Hu val fuTypeNextVec = WireInit(fuTypeRegVec) 261730cfbc0SXuan Hu fuTypeRegVec := fuTypeNextVec 262730cfbc0SXuan Hu 263730cfbc0SXuan Hu s0_doEnqSelValidVec.zip(s0_enqSelOHVec).zipWithIndex.foreach { case ((valid, oh), i) => 264730cfbc0SXuan Hu when (valid) { 265730cfbc0SXuan Hu fuTypeNextVec(OHToUInt(oh)) := s0_enqBits(i).fuType 266730cfbc0SXuan Hu } 267730cfbc0SXuan Hu } 268730cfbc0SXuan Hu 269730cfbc0SXuan Hu enqPolicy match { case ep => 270730cfbc0SXuan Hu ep.io.valid := validVec.asUInt 271730cfbc0SXuan Hu s0_enqSelValidVec := ep.io.enqSelOHVec.map(oh => oh.valid).zip(s0_enqValidVec).zip(io.enq).map { case((sel, enqValid), enq) => enqValid && sel && enq.ready} 272730cfbc0SXuan Hu s0_enqSelOHVec := ep.io.enqSelOHVec.map(oh => oh.bits) 273730cfbc0SXuan Hu } 274730cfbc0SXuan Hu 275730cfbc0SXuan Hu protected val commonAccept: UInt = Cat(fuTypeRegVec.map(fuType => 276730cfbc0SXuan Hu Cat(commonFuCfgs.map(_.fuType.U === fuType)).orR 277730cfbc0SXuan Hu ).reverse) 278730cfbc0SXuan Hu 279730cfbc0SXuan Hu // if deq port can accept the uop 280730cfbc0SXuan Hu protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 281730cfbc0SXuan Hu Cat(fuTypeRegVec.map(fuType => Cat(fuCfgs.map(_.fuType.U === fuType)).orR).reverse).asUInt 282730cfbc0SXuan Hu } 283730cfbc0SXuan Hu 284730cfbc0SXuan Hu protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 285730cfbc0SXuan Hu fuTypeRegVec.map(fuType => 286730cfbc0SXuan Hu Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 287730cfbc0SXuan Hu } 288730cfbc0SXuan Hu 289730cfbc0SXuan Hu subDeqPolicies.zipWithIndex.map { case (dpOption: Option[DeqPolicy], i) => 290730cfbc0SXuan Hu if (dpOption.nonEmpty) { 291730cfbc0SXuan Hu val dp = dpOption.get 292de93b508SzhanglyGit dp.io.request := canIssueVec.asUInt & VecInit(deqCanAcceptVec(i)).asUInt & (~fuBusyTableMask(i)).asUInt & (~intWbBusyTableMask(i)).asUInt & (~vfWbBusyTableMask(i)).asUInt 293730cfbc0SXuan Hu subDeqSelValidVec(i).get := dp.io.deqSelOHVec.map(oh => oh.valid) 294730cfbc0SXuan Hu subDeqSelOHVec(i).get := dp.io.deqSelOHVec.map(oh => oh.bits) 295730cfbc0SXuan Hu } 296730cfbc0SXuan Hu } 297730cfbc0SXuan Hu 2988db72c71Sfdy protected val enqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 2998db72c71Sfdy io.enq.map(_.bits.fuType).map(fuType => 3008db72c71Sfdy Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 3018db72c71Sfdy } 3028db72c71Sfdy 3038db72c71Sfdy val ageDetectorEnqVec: Vec[Vec[UInt]] = WireInit(VecInit(Seq.fill(params.numDeq)(VecInit(Seq.fill(params.numEnq)(0.U(params.numEntries.W)))))) 3048db72c71Sfdy 3058db72c71Sfdy ageDetectorEnqVec.zip(enqCanAcceptVec) foreach { 3068db72c71Sfdy case (ageDetectorEnq, enqCanAccept) => 3078db72c71Sfdy ageDetectorEnq := enqCanAccept.zip(s0_doEnqOH).map { 3088db72c71Sfdy case (enqCanAccept, s0_doEnqOH) => Mux(enqCanAccept, s0_doEnqOH, 0.U) 3098db72c71Sfdy } 3108db72c71Sfdy } 3118db72c71Sfdy 3128db72c71Sfdy val oldestSelVec = (0 until params.numDeq).map { 3138db72c71Sfdy case deqIdx => 3148db72c71Sfdy AgeDetector(numEntries = params.numEntries, 3158db72c71Sfdy enq = ageDetectorEnqVec(deqIdx), 3168db72c71Sfdy deq = clearVec.asUInt, 3178a68c327SzhanglyGit canIssue = canIssueVec.asUInt & (~fuBusyTableMask(deqIdx)).asUInt & (~intWbBusyTableMask(deqIdx)).asUInt & (~vfWbBusyTableMask(deqIdx)).asUInt) 3188db72c71Sfdy } 3198db72c71Sfdy 3208db72c71Sfdy finalDeqSelValidVec.head := oldestSelVec.head.valid || subDeqSelValidVec.head.getOrElse(Seq(false.B)).head 3218db72c71Sfdy finalDeqSelOHVec.head := Mux(oldestSelVec.head.valid, oldestSelVec.head.bits, subDeqSelOHVec.head.getOrElse(Seq(0.U)).head) 3228db72c71Sfdy 323730cfbc0SXuan Hu if (params.numDeq == 2) { 3248db72c71Sfdy val chooseOldest = oldestSelVec(1).valid && oldestSelVec(1).bits =/= finalDeqSelOHVec.head 3258db72c71Sfdy val choose1stSub = subDeqSelOHVec(1).getOrElse(Seq(0.U)).head =/= finalDeqSelOHVec.head 3268db72c71Sfdy 3278db72c71Sfdy finalDeqSelValidVec(1) := MuxCase(subDeqSelValidVec(1).getOrElse(Seq(false.B)).last, Seq( 3288db72c71Sfdy (chooseOldest) -> oldestSelVec(1).valid, 3298db72c71Sfdy (choose1stSub) -> subDeqSelValidVec(1).getOrElse(Seq(false.B)).head) 3308db72c71Sfdy ) 3318db72c71Sfdy finalDeqSelOHVec(1) := MuxCase(subDeqSelOHVec(1).getOrElse(Seq(0.U)).last, Seq( 3328db72c71Sfdy (chooseOldest) -> oldestSelVec(1).bits, 3338db72c71Sfdy (choose1stSub) -> subDeqSelOHVec(1).getOrElse(Seq(0.U)).head) 3348db72c71Sfdy ) 335730cfbc0SXuan Hu } 336730cfbc0SXuan Hu 337de93b508SzhanglyGit //fuBusyTable 338de93b508SzhanglyGit fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.map { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 339de93b508SzhanglyGit if(busyTableWrite.nonEmpty) { 340de93b508SzhanglyGit val btwr = busyTableWrite.get 341de93b508SzhanglyGit val btrd = busyTableRead.get 342dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 343dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 344dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 345de93b508SzhanglyGit btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 346de93b508SzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeRegVec 347de93b508SzhanglyGit fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 348ea0f92d8Sczw } 349de93b508SzhanglyGit else { 3508d29ec32Sczw fuBusyTableMask(i) := 0.U(params.numEntries.W) 351ea0f92d8Sczw } 3522e0a7dc5Sfdy } 3532e0a7dc5Sfdy 354dd970561SzhanglyGit //wbfuBusyTable write 355dd970561SzhanglyGit intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 356dd970561SzhanglyGit if(busyTableWrite.nonEmpty) { 357dd970561SzhanglyGit val btwr = busyTableWrite.get 358dd970561SzhanglyGit val bt = busyTable.get 359dd970561SzhanglyGit val dq = deqResp.get 360dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 361dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 362dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 363dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 364dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 365dd970561SzhanglyGit } 366dd970561SzhanglyGit } 367dd970561SzhanglyGit 368dd970561SzhanglyGit vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 369dd970561SzhanglyGit if (busyTableWrite.nonEmpty) { 370dd970561SzhanglyGit val btwr = busyTableWrite.get 371dd970561SzhanglyGit val bt = busyTable.get 372dd970561SzhanglyGit val dq = deqResp.get 373dd970561SzhanglyGit btwr.io.in.deqResp := io.deqResp(i) 374dd970561SzhanglyGit btwr.io.in.og0Resp := io.og0Resp(i) 375dd970561SzhanglyGit btwr.io.in.og1Resp := io.og1Resp(i) 376dd970561SzhanglyGit bt := btwr.io.out.fuBusyTable 377dd970561SzhanglyGit dq := btwr.io.out.deqRespSet 378dd970561SzhanglyGit } 379dd970561SzhanglyGit } 380dd970561SzhanglyGit 381de93b508SzhanglyGit //wbfuBusyTable read 382dd970561SzhanglyGit intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 383de93b508SzhanglyGit if(busyTableRead.nonEmpty) { 384de93b508SzhanglyGit val btrd = busyTableRead.get 385de93b508SzhanglyGit val bt = busyTable.get 386de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 387de93b508SzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeRegVec 388de93b508SzhanglyGit intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 389de93b508SzhanglyGit } 390de93b508SzhanglyGit else { 391de93b508SzhanglyGit intWbBusyTableMask(i) := 0.U(params.numEntries.W) 392de93b508SzhanglyGit } 393de93b508SzhanglyGit } 394dd970561SzhanglyGit vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 395de93b508SzhanglyGit if (busyTableRead.nonEmpty) { 396de93b508SzhanglyGit val btrd = busyTableRead.get 397de93b508SzhanglyGit val bt = busyTable.get 398de93b508SzhanglyGit btrd.io.in.fuBusyTable := bt 399de93b508SzhanglyGit btrd.io.in.fuTypeRegVec := fuTypeRegVec 400de93b508SzhanglyGit vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 401de93b508SzhanglyGit } 402de93b508SzhanglyGit else { 403de93b508SzhanglyGit vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 404de93b508SzhanglyGit } 405ea0f92d8Sczw } 406ea0f92d8Sczw 407bf35baadSXuan Hu wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 408bf35baadSXuan Hu wakeUpQueueOption.foreach { 409bf35baadSXuan Hu wakeUpQueue => 410bf35baadSXuan Hu wakeUpQueue.io.flush := io.flush 4111526754bSXuan Hu wakeUpQueue.io.enq.valid := io.deq(i).fire && { 4121526754bSXuan Hu if (io.deq(i).bits.common.rfWen.isDefined) 4131526754bSXuan Hu io.deq(i).bits.common.rfWen.get && io.deq(i).bits.common.pdest =/= 0.U 4141526754bSXuan Hu else 4151526754bSXuan Hu true.B 4161526754bSXuan Hu } 417bf35baadSXuan Hu wakeUpQueue.io.enq.bits.uop := io.deq(i).bits.common 418bf35baadSXuan Hu wakeUpQueue.io.enq.bits.lat := getDeqLat(i, io.deq(i).bits.common.fuType) 419bf35baadSXuan Hu } 420bf35baadSXuan Hu } 421bf35baadSXuan Hu 422730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 423730cfbc0SXuan Hu deq.valid := finalDeqSelValidVec(i) 424730cfbc0SXuan Hu deq.bits.addrOH := finalDeqSelOHVec(i) 425730cfbc0SXuan Hu deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 426730cfbc0SXuan Hu deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 427730cfbc0SXuan Hu deq.bits.common.fuType := payloadArrayRdata(i).fuType 428730cfbc0SXuan Hu deq.bits.common.fuOpType := payloadArrayRdata(i).fuOpType 429730cfbc0SXuan Hu deq.bits.common.rfWen.foreach(_ := payloadArrayRdata(i).rfWen) 430730cfbc0SXuan Hu deq.bits.common.fpWen.foreach(_ := payloadArrayRdata(i).fpWen) 431730cfbc0SXuan Hu deq.bits.common.vecWen.foreach(_ := payloadArrayRdata(i).vecWen) 432730cfbc0SXuan Hu deq.bits.common.flushPipe.foreach(_ := payloadArrayRdata(i).flushPipe) 433730cfbc0SXuan Hu deq.bits.common.pdest := payloadArrayRdata(i).pdest 434730cfbc0SXuan Hu deq.bits.common.robIdx := payloadArrayRdata(i).robIdx 435730cfbc0SXuan Hu deq.bits.common.imm := immArrayRdataVec(i) 436c0be7f33SXuan Hu deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach { 437c0be7f33SXuan Hu case ((sink, source), srcIdx) => 438c0be7f33SXuan Hu sink.value := Mux( 439c0be7f33SXuan Hu SrcType.isXp(payloadArrayRdata(i).srcType(srcIdx)) && payloadArrayRdata(i).psrc(srcIdx) === 0.U, 440c0be7f33SXuan Hu DataSource.none, 441c0be7f33SXuan Hu source.value 442c0be7f33SXuan Hu ) 4435d2b9cadSXuan Hu } 444c0be7f33SXuan Hu deq.bits.common.l1ExuVec.foreach(_ := finalWakeUpL1ExuOH.get(i)) 445c0be7f33SXuan Hu deq.bits.common.l2ExuVec.foreach(_ := finalWakeUpL2ExuVec.get(i)) 446ea46c302SXuan Hu deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i)) 4475d2b9cadSXuan Hu 448730cfbc0SXuan Hu deq.bits.rf.zip(payloadArrayRdata(i).psrc).foreach { case (rf, psrc) => 449730cfbc0SXuan Hu rf.foreach(_.addr := psrc) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 450730cfbc0SXuan Hu } 451730cfbc0SXuan Hu deq.bits.rf.zip(payloadArrayRdata(i).srcType).foreach { case (rf, srcType) => 452730cfbc0SXuan Hu rf.foreach(_.srcType := srcType) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 453730cfbc0SXuan Hu } 454730cfbc0SXuan Hu deq.bits.srcType.zip(payloadArrayRdata(i).srcType).foreach { case (sink, source) => 455730cfbc0SXuan Hu sink := source 456730cfbc0SXuan Hu } 457730cfbc0SXuan Hu deq.bits.immType := payloadArrayRdata(i).selImm 458730cfbc0SXuan Hu } 459730cfbc0SXuan Hu 460bf35baadSXuan Hu io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 461bf35baadSXuan Hu if (wakeUpQueues(i).nonEmpty) { 462bf35baadSXuan Hu wakeup.valid := wakeUpQueues(i).get.io.deq.valid 463c0be7f33SXuan Hu wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 464bf35baadSXuan Hu } else { 465bf35baadSXuan Hu wakeup.valid := false.B 466bf35baadSXuan Hu wakeup.bits := 0.U.asTypeOf(wakeup.bits.cloneType) 467bf35baadSXuan Hu } 468bf35baadSXuan Hu } 469bf35baadSXuan Hu 470730cfbc0SXuan Hu // Todo: better counter implementation 471730cfbc0SXuan Hu private val validCnt = PopCount(validVec) 472730cfbc0SXuan Hu private val enqSelCnt = PopCount(s0_doEnqSelValidVec) 473730cfbc0SXuan Hu private val validCntNext = validCnt + enqSelCnt 474730cfbc0SXuan Hu io.status.full := validVec.asUInt.andR 475730cfbc0SXuan Hu io.status.empty := !validVec.asUInt.orR 476730cfbc0SXuan Hu io.status.leftVec(0) := io.status.full 477730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 478730cfbc0SXuan Hu io.status.leftVec(i + 1) := validCnt === (params.numEntries - (i + 1)).U 479730cfbc0SXuan Hu } 480730cfbc0SXuan Hu io.statusNext.full := validCntNext === params.numEntries.U 481730cfbc0SXuan Hu io.statusNext.empty := validCntNext === 0.U // always false now 482730cfbc0SXuan Hu io.statusNext.leftVec(0) := io.statusNext.full 483730cfbc0SXuan Hu for (i <- 0 until params.numEnq) { 484730cfbc0SXuan Hu io.statusNext.leftVec(i + 1) := validCntNext === (params.numEntries - (i + 1)).U 485730cfbc0SXuan Hu } 486730cfbc0SXuan Hu io.enq.foreach(_.ready := !Cat(io.status.leftVec).orR) // Todo: more efficient implementation 487bf35baadSXuan Hu 488bf35baadSXuan Hu protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 489*8e208fb5SXuan Hu val fuLatUIntMaps: Map[UInt, UInt] = fuLatencyMaps(deqPortIdx).map { case (k, v) => (k.U, v.U) } 490*8e208fb5SXuan Hu val lat = Mux1H(fuLatUIntMaps.keys.map(_ === fuType).toSeq, fuLatUIntMaps.values.toSeq) 491*8e208fb5SXuan Hu dontTouch(lat) 492*8e208fb5SXuan Hu // ParallelLookUp(fuType, fuLatencyMaps(deqPortIdx).map { case (k, v) => (k.U, v.U) }.toSeq) 493bf35baadSXuan Hu } 494730cfbc0SXuan Hu} 495730cfbc0SXuan Hu 496730cfbc0SXuan Huclass IssueQueueJumpBundle extends Bundle { 497730cfbc0SXuan Hu val pc = UInt(VAddrData().dataWidth.W) 498730cfbc0SXuan Hu val target = UInt(VAddrData().dataWidth.W) 499730cfbc0SXuan Hu} 500730cfbc0SXuan Hu 501730cfbc0SXuan Huclass IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 502730cfbc0SXuan Hu val fastMatch = UInt(backendParams.LduCnt.W) 503730cfbc0SXuan Hu val fastImm = UInt(12.W) 504730cfbc0SXuan Hu} 505730cfbc0SXuan Hu 506730cfbc0SXuan Huclass IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 507730cfbc0SXuan Hu val enqJmp = if(params.numPcReadPort > 0) Some(Input(Vec(params.numPcReadPort, new IssueQueueJumpBundle))) else None 508730cfbc0SXuan Hu} 509730cfbc0SXuan Hu 510730cfbc0SXuan Huclass IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 511730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 512730cfbc0SXuan Hu{ 513730cfbc0SXuan Hu io.suggestName("none") 514730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 515730cfbc0SXuan Hu val pcArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 516730cfbc0SXuan Hu new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 517730cfbc0SXuan Hu )) else None 518730cfbc0SXuan Hu val targetArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 519730cfbc0SXuan Hu new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 520730cfbc0SXuan Hu )) else None 521730cfbc0SXuan Hu 522730cfbc0SXuan Hu if (pcArray.nonEmpty) { 523730cfbc0SXuan Hu val pcArrayIO = pcArray.get.io 524730cfbc0SXuan Hu pcArrayIO.read.zipWithIndex.foreach { case (r, i) => 525730cfbc0SXuan Hu r.addr := finalDeqSelOHVec(i) 526730cfbc0SXuan Hu } 527730cfbc0SXuan Hu pcArrayIO.write.zipWithIndex.foreach { case (w, i) => 528730cfbc0SXuan Hu w.en := s0_doEnqSelValidVec(i) 529730cfbc0SXuan Hu w.addr := s0_enqSelOHVec(i) 530730cfbc0SXuan Hu w.data := io.enq(i).bits.pc 531730cfbc0SXuan Hu } 532730cfbc0SXuan Hu } 533730cfbc0SXuan Hu 534730cfbc0SXuan Hu if (targetArray.nonEmpty) { 535730cfbc0SXuan Hu val arrayIO = targetArray.get.io 536730cfbc0SXuan Hu arrayIO.read.zipWithIndex.foreach { case (r, i) => 537730cfbc0SXuan Hu r.addr := finalDeqSelOHVec(i) 538730cfbc0SXuan Hu } 539730cfbc0SXuan Hu arrayIO.write.zipWithIndex.foreach { case (w, i) => 540730cfbc0SXuan Hu w.en := s0_doEnqSelValidVec(i) 541730cfbc0SXuan Hu w.addr := s0_enqSelOHVec(i) 542730cfbc0SXuan Hu w.data := io.enqJmp.get(i).target 543730cfbc0SXuan Hu } 544730cfbc0SXuan Hu } 545730cfbc0SXuan Hu 546730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 547730cfbc0SXuan Hu deq.bits.jmp.foreach((deqJmp: IssueQueueJumpBundle) => { 548730cfbc0SXuan Hu deqJmp.pc := pcArray.get.io.read(i).data 549730cfbc0SXuan Hu deqJmp.target := targetArray.get.io.read(i).data 550730cfbc0SXuan Hu }) 551730cfbc0SXuan Hu deq.bits.common.preDecode.foreach(_ := payloadArrayRdata(i).preDecodeInfo) 552730cfbc0SXuan Hu deq.bits.common.ftqIdx.foreach(_ := payloadArrayRdata(i).ftqPtr) 553730cfbc0SXuan Hu deq.bits.common.ftqOffset.foreach(_ := payloadArrayRdata(i).ftqOffset) 554730cfbc0SXuan Hu deq.bits.common.predictInfo.foreach(x => { 555730cfbc0SXuan Hu x.target := targetArray.get.io.read(i).data 556730cfbc0SXuan Hu x.taken := payloadArrayRdata(i).pred_taken 557730cfbc0SXuan Hu }) 558730cfbc0SXuan Hu // for std 559730cfbc0SXuan Hu deq.bits.common.sqIdx.foreach(_ := payloadArrayRdata(i).sqIdx) 560730cfbc0SXuan Hu // for i2f 561730cfbc0SXuan Hu deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 562730cfbc0SXuan Hu }} 563730cfbc0SXuan Hu} 564730cfbc0SXuan Hu 565730cfbc0SXuan Huclass IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 566730cfbc0SXuan Hu extends IssueQueueImp(wrapper) 567730cfbc0SXuan Hu{ 568730cfbc0SXuan Hu statusArray.io match { case statusArrayIO: StatusArrayIO => 569730cfbc0SXuan Hu statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 570730cfbc0SXuan Hu val numLSrc = s0_enqBits(i).srcType.size min enq.bits.data.srcType.size 571b6b11f60SXuan Hu val numPSrc = s0_enqBits(i).srcState.size min enq.bits.data.srcState.size 572b6b11f60SXuan Hu 573b6b11f60SXuan Hu for (j <- 0 until numPSrc) { 574730cfbc0SXuan Hu enq.bits.data.srcState(j) := s0_enqBits(i).srcState(j) | wakeupEnqSrcStateBypass(i)(j) 575730cfbc0SXuan Hu enq.bits.data.psrc(j) := s0_enqBits(i).psrc(j) 576b6b11f60SXuan Hu } 577b6b11f60SXuan Hu 578b6b11f60SXuan Hu for (j <- 0 until numLSrc) { 579730cfbc0SXuan Hu enq.bits.data.srcType(j) := s0_enqBits(i).srcType(j) 580730cfbc0SXuan Hu } 581b6b11f60SXuan Hu if (enq.bits.data.srcType.isDefinedAt(3)) enq.bits.data.srcType(3) := SrcType.vp // v0: mask src 582b6b11f60SXuan Hu if (enq.bits.data.srcType.isDefinedAt(4)) enq.bits.data.srcType(4) := SrcType.vp // vl&vtype 583730cfbc0SXuan Hu } 584730cfbc0SXuan Hu } 585730cfbc0SXuan Hu io.deq.zipWithIndex.foreach{ case (deq, i) => { 586b6b11f60SXuan Hu deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 587b6b11f60SXuan Hu deq.bits.common.vpu.foreach(_ := payloadArrayRdata(i).vpu) 588274fac05SXuan Hu deq.bits.common.vpu.foreach(_.vuopIdx := payloadArrayRdata(i).uopIdx) 589730cfbc0SXuan Hu }} 590730cfbc0SXuan Hu} 591730cfbc0SXuan Hu 592730cfbc0SXuan Huclass IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 593730cfbc0SXuan Hu val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 594730cfbc0SXuan Hu val checkWait = new Bundle { 595730cfbc0SXuan Hu val stIssuePtr = Input(new SqPtr) 596730cfbc0SXuan Hu val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 597730cfbc0SXuan Hu } 598730cfbc0SXuan Hu val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 599730cfbc0SXuan Hu} 600730cfbc0SXuan Hu 601730cfbc0SXuan Huclass IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 602730cfbc0SXuan Hu val memIO = Some(new IssueQueueMemBundle) 603730cfbc0SXuan Hu} 604730cfbc0SXuan Hu 605730cfbc0SXuan Huclass IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 606730cfbc0SXuan Hu extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 607730cfbc0SXuan Hu 6084ee69032SzhanglyGit require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ") 609730cfbc0SXuan Hu 610730cfbc0SXuan Hu io.suggestName("none") 611730cfbc0SXuan Hu override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 612730cfbc0SXuan Hu private val memIO = io.memIO.get 613730cfbc0SXuan Hu 614730cfbc0SXuan Hu for (i <- io.enq.indices) { 615730cfbc0SXuan Hu val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 616730cfbc0SXuan Hu val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 617730cfbc0SXuan Hu memIO.checkWait.memWaitUpdateReq.staIssue(i).valid && 618730cfbc0SXuan Hu memIO.checkWait.memWaitUpdateReq.staIssue(i).bits.uop.robIdx.value === io.enq(i).bits.waitForRobIdx.value 619730cfbc0SXuan Hu })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 620730cfbc0SXuan Hu s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 621730cfbc0SXuan Hu } 622730cfbc0SXuan Hu 623730cfbc0SXuan Hu for (i <- statusArray.io.enq.indices) { 624730cfbc0SXuan Hu statusArray.io.enq(i).bits.data match { case enqData => 625730cfbc0SXuan Hu enqData.blocked := s0_enqBits(i).loadWaitBit 626730cfbc0SXuan Hu enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 627730cfbc0SXuan Hu enqData.mem.get.waitForStd := false.B 628730cfbc0SXuan Hu enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 629730cfbc0SXuan Hu enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 630730cfbc0SXuan Hu enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 631730cfbc0SXuan Hu } 632730cfbc0SXuan Hu 633730cfbc0SXuan Hu statusArray.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 634730cfbc0SXuan Hu slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 635730cfbc0SXuan Hu slowResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackSlow.bits.rsIdx) 636d54d930bSfdy slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 637730cfbc0SXuan Hu slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 6388d29ec32Sczw slowResp.bits.rfWen := DontCare 6398d29ec32Sczw slowResp.bits.fuType := DontCare 640730cfbc0SXuan Hu } 641730cfbc0SXuan Hu 642730cfbc0SXuan Hu statusArray.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 643730cfbc0SXuan Hu fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 644730cfbc0SXuan Hu fastResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackFast.bits.rsIdx) 645730cfbc0SXuan Hu fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 646730cfbc0SXuan Hu fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 6478d29ec32Sczw fastResp.bits.rfWen := DontCare 6488d29ec32Sczw fastResp.bits.fuType := DontCare 649730cfbc0SXuan Hu } 650730cfbc0SXuan Hu 651730cfbc0SXuan Hu statusArray.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 652730cfbc0SXuan Hu statusArray.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 653730cfbc0SXuan Hu } 654730cfbc0SXuan Hu 655730cfbc0SXuan Hu io.deq.zipWithIndex.foreach { case (deq, i) => 656730cfbc0SXuan Hu deq.bits.common.sqIdx.get := payloadArrayRdata(i).sqIdx 657730cfbc0SXuan Hu deq.bits.common.lqIdx.get := payloadArrayRdata(i).lqIdx 658730cfbc0SXuan Hu if (params.isLdAddrIQ) { 659730cfbc0SXuan Hu deq.bits.common.ftqIdx.get := payloadArrayRdata(i).ftqPtr 660730cfbc0SXuan Hu deq.bits.common.ftqOffset.get := payloadArrayRdata(i).ftqOffset 661730cfbc0SXuan Hu } 662730cfbc0SXuan Hu } 663730cfbc0SXuan Hu}