xref: /XiangShan/src/main/scala/xiangshan/backend/issue/IssueQueue.scala (revision 0c7ebb58175b51109677230e8cbab09e73166956)
1package xiangshan.backend.issue
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
7import utility.{GTimer, HasCircularQueuePtrHelper, SelectOne}
8import utils._
9import xiangshan._
10import xiangshan.backend.Bundles._
11import xiangshan.backend.decode.{ImmUnion, Imm_LUI_LOAD}
12import xiangshan.backend.datapath.DataConfig._
13import xiangshan.backend.datapath.DataSource
14import xiangshan.backend.fu.{FuConfig, FuType}
15import xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr}
16import xiangshan.backend.rob.RobPtr
17import xiangshan.backend.datapath.NewPipelineConnect
18
19class IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
20  override def shouldBeInlined: Boolean = false
21
22  implicit val iqParams = params
23  lazy val module: IssueQueueImp = iqParams.schdType match {
24    case IntScheduler() => new IssueQueueIntImp(this)
25    case VfScheduler() => new IssueQueueVfImp(this)
26    case MemScheduler() =>
27      if (iqParams.StdCnt == 0 && !iqParams.isVecMemIQ) new IssueQueueMemAddrImp(this)
28      else if (iqParams.isVecMemIQ) new IssueQueueVecMemImp(this)
29      else new IssueQueueIntImp(this)
30    case _ => null
31  }
32}
33
34class IssueQueueStatusBundle(numEnq: Int, numEntries: Int) extends Bundle {
35  val empty = Output(Bool())
36  val full = Output(Bool())
37  val validCnt = Output(UInt(log2Ceil(numEntries).W))
38  val leftVec = Output(Vec(numEnq + 1, Bool()))
39}
40
41class IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends EntryDeqRespBundle
42
43class IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
44  // Inputs
45  val flush = Flipped(ValidIO(new Redirect))
46  val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst)))
47
48  val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))
49  val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle)))
50  val finalIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle))))
51  val memAddrIssueResp = OptionWrapper(params.LdExuCnt > 0, Vec(params.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle))))
52  val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle())
53  val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle())
54  val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
55  val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
56  val og0Cancel = Input(ExuOH(backendParams.numExu))
57  val og1Cancel = Input(ExuOH(backendParams.numExu))
58  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
59  val finalBlock = Vec(params.numExu, Input(Bool()))
60
61  // Outputs
62  val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle
63  val status = Output(new IssueQueueStatusBundle(params.numEnq, params.numEntries))
64  // val statusNext = Output(new IssueQueueStatusBundle(params.numEnq))
65
66  val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType
67  def allWakeUp = wakeupFromWB ++ wakeupFromIQ
68}
69
70class IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams)
71  extends LazyModuleImp(wrapper)
72  with HasXSParameter {
73
74  println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " +
75    s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " +
76    s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " +
77    s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}")
78
79  require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports")
80  val deqFuCfgs     : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs)
81  val allDeqFuCfgs  : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs)
82  val fuCfgsCnt     : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) }
83  val commonFuCfgs  : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq
84  val fuLatencyMaps : Seq[Map[FuType.OHType, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap)
85
86  println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}")
87  println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}")
88  lazy val io = IO(new IssueQueueIO())
89  // Modules
90
91  val entries = Module(new Entries)
92  val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) }
93  val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) }
94  val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) }
95  val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) }
96  val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) }
97  val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) }
98
99  class WakeupQueueFlush extends Bundle {
100    val redirect = ValidIO(new Redirect)
101    val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, new LoadCancelIO)
102    val og0Fail = Output(Bool())
103    val og1Fail = Output(Bool())
104    val finalFail = Output(Bool())
105  }
106
107  private def flushFunc(exuInput: ExuInput, flush: WakeupQueueFlush, stage: Int): Bool = {
108    val redirectFlush = exuInput.robIdx.needFlush(flush.redirect)
109    val loadDependencyFlush = LoadShouldCancel(exuInput.loadDependency, flush.ldCancel)
110    val ogFailFlush = stage match {
111      case 1 => flush.og0Fail
112      case 2 => flush.og1Fail
113      case 3 => flush.finalFail
114      case _ => false.B
115    }
116    redirectFlush || loadDependencyFlush || ogFailFlush
117  }
118
119  private def modificationFunc(exuInput: ExuInput, newInput: ExuInput): ExuInput = {
120    val lastExuInput = WireDefault(exuInput)
121    val newExuInput = WireDefault(newInput)
122    newExuInput.elements.foreach{ case (name, data) =>
123      if (lastExuInput.elements.contains(name)){
124        data := lastExuInput.elements(name)
125      }
126    }
127    newExuInput.loadDependency match {
128      case Some(deps) => deps.zip(exuInput.loadDependency.get).foreach(x => x._1 := x._2 << 1)
129      case None =>
130    }
131    if (newExuInput.pdestCopy.nonEmpty && !lastExuInput.pdestCopy.nonEmpty) {
132      newExuInput.pdestCopy.get.foreach(_ := lastExuInput.pdest)
133    }
134    newExuInput
135  }
136
137  val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, WakeupQueueFlush]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module(
138    new MultiWakeupQueue(new ExuInput(x), new ExuInput(x, x.copyPdest, x.iqWakeUpSourcePairs.size / x.copyDistance), new WakeupQueueFlush, x.fuLatancySet, flushFunc, modificationFunc)
139  ))}
140  val deqBeforeDly = Wire(params.genIssueDecoupledBundle)
141
142  val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable)
143  val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable)
144  val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable)
145  val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable)
146  val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet)
147  val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet)
148  val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
149  val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
150  val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
151  val s0_enqValidVec = io.enq.map(_.valid)
152  val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool()))
153  val s0_enqNotFlush = !io.flush.valid
154  val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits)))
155  val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) //enqValid && notFlush && enqReady
156
157
158  val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool()))
159  val finalDeqSelOHVec    = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
160
161  val validVec = VecInit(entries.io.valid.asBools)
162  val canIssueVec = VecInit(entries.io.canIssue.asBools)
163  val clearVec = VecInit(entries.io.clear.asBools)
164  val deqFirstIssueVec = VecInit(entries.io.deq.map(_.isFirstIssue))
165
166  val dataSources: Vec[Vec[DataSource]] = entries.io.dataSources
167  val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, dataSources)))
168  // (entryIdx)(srcIdx)(exuIdx)
169  val wakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = entries.io.srcWakeUpL1ExuOH
170  val srcTimer: Option[Vec[Vec[UInt]]] = entries.io.srcTimer
171
172  // (deqIdx)(srcIdx)(exuIdx)
173  val finalWakeUpL1ExuOH: Option[Vec[Vec[UInt]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, x))))
174  val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqSelOHVec.map(oh => Mux1H(oh, x))))
175
176  val fuTypeVec = Wire(Vec(params.numEntries, FuType()))
177  val transEntryDeqVec = Wire(Vec(params.numEnq, ValidIO(new EntryBundle)))
178  val deqEntryVec = Wire(Vec(params.numDeq, ValidIO(new EntryBundle)))
179  val transSelVec = Wire(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W)))
180  val canIssueMergeAllBusy = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
181  val deqCanIssue = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
182
183  val enqEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt(params.numEnq.W))))
184  val othersEntryOldestSel = Wire(Vec(params.numDeq, ValidIO(UInt((params.numEntries - params.numEnq).W))))
185  val deqSelValidVec = Wire(Vec(params.numDeq, Bool()))
186  val deqSelOHVec    = Wire(Vec(params.numDeq, UInt(params.numEntries.W)))
187  val cancelDeqVec = Wire(Vec(params.numDeq, Bool()))
188
189  val subDeqSelValidVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, Bool())))
190  val subDeqSelOHVec = OptionWrapper(params.deqFuSame, Wire(Vec(params.numDeq, UInt(params.numEntries.W))))
191  val subDeqRequest = OptionWrapper(params.deqFuSame, Wire(UInt(params.numEntries.W)))
192
193  /**
194    * Connection of [[entries]]
195    */
196  entries.io match { case entriesIO: EntriesIO =>
197    entriesIO.flush <> io.flush
198    entriesIO.wakeUpFromWB := io.wakeupFromWB
199    entriesIO.wakeUpFromIQ := io.wakeupFromIQ
200    entriesIO.og0Cancel := io.og0Cancel
201    entriesIO.og1Cancel := io.og1Cancel
202    entriesIO.ldCancel := io.ldCancel
203    entriesIO.enq.zipWithIndex.foreach { case (enq: ValidIO[EntryBundle], i) =>
204      enq.valid := s0_doEnqSelValidVec(i)
205      val numLsrc = s0_enqBits(i).srcType.size.min(enq.bits.status.srcType.size)
206      for (j <- 0 until numLsrc) {
207        enq.bits.status.srcState(j) := s0_enqBits(i).srcState(j) & !LoadShouldCancel(Some(s0_enqBits(i).srcLoadDependency(j)), io.ldCancel)
208        enq.bits.status.psrc(j) := s0_enqBits(i).psrc(j)
209        enq.bits.status.srcType(j) := s0_enqBits(i).srcType(j)
210        enq.bits.status.dataSources(j).value := DataSource.reg
211        enq.bits.payload.debugInfo.enqRsTime := GTimer()
212      }
213      enq.bits.status.fuType := s0_enqBits(i).fuType
214      enq.bits.status.robIdx := s0_enqBits(i).robIdx
215      enq.bits.status.uopIdx.foreach(_ := s0_enqBits(i).uopIdx)
216      enq.bits.status.issueTimer := "b10".U
217      enq.bits.status.deqPortIdx := 0.U
218      enq.bits.status.issued := false.B
219      enq.bits.status.firstIssue := false.B
220      enq.bits.status.blocked := false.B
221
222      if (params.hasIQWakeUp) {
223        enq.bits.status.srcWakeUpL1ExuOH.get := 0.U.asTypeOf(enq.bits.status.srcWakeUpL1ExuOH.get)
224        enq.bits.status.srcTimer.get := 0.U.asTypeOf(enq.bits.status.srcTimer.get)
225        enq.bits.status.srcLoadDependency.foreach(_.zipWithIndex.foreach {
226          case (dep, srcIdx) =>
227            dep := VecInit(s0_enqBits(i).srcLoadDependency(srcIdx).map(x => x(x.getWidth - 2, 0) << 1))
228        })
229      }
230      if (params.inIntSchd && params.AluCnt > 0) {
231        // dirty code for lui+addi(w) fusion
232        val isLuiAddiFusion = s0_enqBits(i).isLUI32
233        val luiImm = Cat(s0_enqBits(i).lsrc(1), s0_enqBits(i).lsrc(0), s0_enqBits(i).imm(ImmUnion.maxLen - 1, 0))
234        enq.bits.imm.foreach(_ := Mux(isLuiAddiFusion, ImmUnion.LUI32.toImm32(luiImm), s0_enqBits(i).imm))
235      }
236      else if (params.inMemSchd && params.LduCnt > 0) {
237        // dirty code for fused_lui_load
238        val isLuiLoadFusion = SrcType.isNotReg(s0_enqBits(i).srcType(0)) && FuType.isLoad(s0_enqBits(i).fuType)
239        enq.bits.imm.foreach(_ := Mux(isLuiLoadFusion, Imm_LUI_LOAD().getLuiImm(s0_enqBits(i)), s0_enqBits(i).imm))
240      }
241      else {
242        enq.bits.imm.foreach(_ := s0_enqBits(i).imm)
243      }
244      enq.bits.payload := s0_enqBits(i)
245    }
246    entriesIO.deq.zipWithIndex.foreach { case (deq, i) =>
247      deq.enqEntryOldestSel := enqEntryOldestSel(i)
248      deq.othersEntryOldestSel := othersEntryOldestSel(i)
249      deq.subDeqRequest.foreach(_ := subDeqRequest.get)
250      deq.subDeqSelOH.foreach(_ := subDeqSelOHVec.get(i))
251      deq.deqReady := deqBeforeDly(i).ready
252      deq.deqSelOH.valid := deqSelValidVec(i)
253      deq.deqSelOH.bits := deqSelOHVec(i)
254    }
255    entriesIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) =>
256      og0Resp.valid := io.og0Resp(i).valid
257      og0Resp.bits.robIdx := io.og0Resp(i).bits.robIdx
258      og0Resp.bits.uopIdx := io.og0Resp(i).bits.uopIdx
259      og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx
260      og0Resp.bits.respType := io.og0Resp(i).bits.respType
261      og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen
262      og0Resp.bits.fuType := io.og0Resp(i).bits.fuType
263    }
264    entriesIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) =>
265      og1Resp.valid := io.og1Resp(i).valid
266      og1Resp.bits.robIdx := io.og1Resp(i).bits.robIdx
267      og1Resp.bits.uopIdx := io.og1Resp(i).bits.uopIdx
268      og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx
269      og1Resp.bits.respType := io.og1Resp(i).bits.respType
270      og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen
271      og1Resp.bits.fuType := io.og1Resp(i).bits.fuType
272    }
273    entriesIO.finalIssueResp.foreach(_.zipWithIndex.foreach { case (finalIssueResp, i) =>
274      finalIssueResp := io.finalIssueResp.get(i)
275    })
276    entriesIO.memAddrIssueResp.foreach(_.zipWithIndex.foreach { case (memAddrIssueResp, i) =>
277      memAddrIssueResp := io.memAddrIssueResp.get(i)
278    })
279    transEntryDeqVec := entriesIO.transEntryDeqVec
280    deqEntryVec := entriesIO.deq.map(_.deqEntry)
281    fuTypeVec := entriesIO.fuType
282    cancelDeqVec := entriesIO.cancelDeqVec
283    transSelVec := entriesIO.transSelVec
284  }
285
286
287  s0_enqSelValidVec := s0_enqValidVec.zip(io.enq).map{ case (enqValid, enq) => enqValid && enq.ready}
288
289  protected val commonAccept: UInt = Cat(fuTypeVec.map(fuType =>
290    FuType.FuTypeOrR(fuType, commonFuCfgs.map(_.fuType))
291  ).reverse)
292
293  // if deq port can accept the uop
294  protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] =>
295    Cat(fuTypeVec.map(fuType =>
296      FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType))
297    ).reverse)
298  }
299
300  protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] =>
301    fuTypeVec.map(fuType =>
302      FuType.FuTypeOrR(fuType, fuCfgs.map(_.fuType)))
303  }
304
305  canIssueMergeAllBusy.zipWithIndex.foreach { case (merge, i) =>
306    val mergeFuBusy = {
307      if (fuBusyTableWrite(i).nonEmpty) canIssueVec.asUInt & (~fuBusyTableMask(i))
308      else canIssueVec.asUInt
309    }
310    val mergeIntWbBusy = {
311      if (intWbBusyTableRead(i).nonEmpty) mergeFuBusy & (~intWbBusyTableMask(i))
312      else mergeFuBusy
313    }
314    val mergeVfWbBusy = {
315      if (vfWbBusyTableRead(i).nonEmpty) mergeIntWbBusy & (~vfWbBusyTableMask(i))
316      else mergeIntWbBusy
317    }
318    merge := mergeVfWbBusy
319  }
320
321  deqCanIssue.zipWithIndex.foreach { case (req, i) =>
322    req := canIssueMergeAllBusy(i) & VecInit(deqCanAcceptVec(i)).asUInt
323  }
324
325  if (params.numDeq == 2) {
326    require(params.deqFuSame || params.deqFuDiff, "The 2 deq ports need to be identical or completely different")
327  }
328
329  if (params.numDeq == 2 && params.deqFuSame) {
330    enqEntryOldestSel := DontCare
331
332    othersEntryOldestSel(0) := AgeDetector(numEntries = params.numEntries - params.numEnq,
333      enq = VecInit(transEntryDeqVec.zip(transSelVec).map{ case (transEntry, transSel) => Fill(params.numEntries-params.numEnq, transEntry.valid) & transSel }),
334      canIssue = canIssueVec.asUInt(params.numEntries-1, params.numEnq)
335    )
336    othersEntryOldestSel(1) := DontCare
337
338    subDeqRequest.get := canIssueVec.asUInt & ~Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W))
339
340    val subDeqPolicy = Module(new DeqPolicy())
341    subDeqPolicy.io.request := subDeqRequest.get
342    subDeqSelValidVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.valid)
343    subDeqSelOHVec.get := subDeqPolicy.io.deqSelOHVec.map(oh => oh.bits)
344
345    deqSelValidVec(0) := othersEntryOldestSel(0).valid || subDeqSelValidVec.get(1)
346    deqSelValidVec(1) := subDeqSelValidVec.get(0)
347    deqSelOHVec(0) := Mux(othersEntryOldestSel(0).valid,
348                          Cat(othersEntryOldestSel(0).bits, 0.U((params.numEnq).W)),
349                          subDeqSelOHVec.get(1)) & canIssueMergeAllBusy(0)
350    deqSelOHVec(1) := subDeqSelOHVec.get(0) & canIssueMergeAllBusy(1)
351
352    finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) =>
353      selValid := deqValid && deqOH.orR && deqBeforeDly(i).ready
354      selOH := deqOH
355    }
356  }
357  else {
358    enqEntryOldestSel := NewAgeDetector(numEntries = params.numEnq,
359      enq = VecInit(s0_doEnqSelValidVec),
360      canIssue = VecInit(deqCanIssue.map(_(params.numEnq-1, 0)))
361    )
362
363    othersEntryOldestSel := AgeDetector(numEntries = params.numEntries - params.numEnq,
364      enq = VecInit(transEntryDeqVec.zip(transSelVec).map{ case (transEntry, transSel) => Fill(params.numEntries-params.numEnq, transEntry.valid) & transSel }),
365      canIssue = VecInit(deqCanIssue.map(_(params.numEntries-1, params.numEnq)))
366    )
367
368    deqSelValidVec.zip(deqSelOHVec).zipWithIndex.foreach { case ((selValid, selOH), i) =>
369      if (params.exuBlockParams(i).fuConfigs.contains(FuConfig.FakeHystaCfg)) {
370        selValid := false.B
371        selOH := 0.U.asTypeOf(selOH)
372      } else {
373        selValid := othersEntryOldestSel(i).valid || enqEntryOldestSel(i).valid
374        selOH := Cat(othersEntryOldestSel(i).bits, Fill(params.numEnq, enqEntryOldestSel(i).valid && !othersEntryOldestSel(i).valid) & enqEntryOldestSel(i).bits)
375      }
376    }
377
378    finalDeqSelValidVec.zip(finalDeqSelOHVec).zip(deqSelValidVec).zip(deqSelOHVec).zipWithIndex.foreach { case ((((selValid, selOH), deqValid), deqOH), i) =>
379      selValid := deqValid && deqBeforeDly(i).ready
380      selOH := deqOH
381    }
382  }
383
384  val toBusyTableDeqResp = Wire(Vec(params.numDeq, ValidIO(new IssueQueueDeqRespBundle)))
385
386  toBusyTableDeqResp.zipWithIndex.foreach { case (deqResp, i) =>
387    deqResp.valid := finalDeqSelValidVec(i)
388    deqResp.bits.respType := RSFeedbackType.issueSuccess
389    deqResp.bits.robIdx := DontCare
390    deqResp.bits.dataInvalidSqIdx := DontCare
391    deqResp.bits.rfWen := DontCare
392    deqResp.bits.fuType := deqBeforeDly(i).bits.common.fuType
393    deqResp.bits.uopIdx := DontCare
394  }
395
396  //fuBusyTable
397  fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.foreach { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) =>
398    if(busyTableWrite.nonEmpty) {
399      val btwr = busyTableWrite.get
400      val btrd = busyTableRead.get
401      btwr.io.in.deqResp := toBusyTableDeqResp(i)
402      btwr.io.in.og0Resp := io.og0Resp(i)
403      btwr.io.in.og1Resp := io.og1Resp(i)
404      btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable
405      btrd.io.in.fuTypeRegVec := fuTypeVec
406      fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask
407    }
408    else {
409      fuBusyTableMask(i) := 0.U(params.numEntries.W)
410    }
411  }
412
413  //wbfuBusyTable write
414  intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) =>
415    if(busyTableWrite.nonEmpty) {
416      val btwr = busyTableWrite.get
417      val bt = busyTable.get
418      val dq = deqResp.get
419      btwr.io.in.deqResp := toBusyTableDeqResp(i)
420      btwr.io.in.og0Resp := io.og0Resp(i)
421      btwr.io.in.og1Resp := io.og1Resp(i)
422      bt := btwr.io.out.fuBusyTable
423      dq := btwr.io.out.deqRespSet
424    }
425  }
426
427  vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.foreach { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) =>
428    if (busyTableWrite.nonEmpty) {
429      val btwr = busyTableWrite.get
430      val bt = busyTable.get
431      val dq = deqResp.get
432      btwr.io.in.deqResp := toBusyTableDeqResp(i)
433      btwr.io.in.og0Resp := io.og0Resp(i)
434      btwr.io.in.og1Resp := io.og1Resp(i)
435      bt := btwr.io.out.fuBusyTable
436      dq := btwr.io.out.deqRespSet
437    }
438  }
439
440  //wbfuBusyTable read
441  intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) =>
442    if(busyTableRead.nonEmpty) {
443      val btrd = busyTableRead.get
444      val bt = busyTable.get
445      btrd.io.in.fuBusyTable := bt
446      btrd.io.in.fuTypeRegVec := fuTypeVec
447      intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask
448    }
449    else {
450      intWbBusyTableMask(i) := 0.U(params.numEntries.W)
451    }
452  }
453  vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.foreach { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) =>
454    if (busyTableRead.nonEmpty) {
455      val btrd = busyTableRead.get
456      val bt = busyTable.get
457      btrd.io.in.fuBusyTable := bt
458      btrd.io.in.fuTypeRegVec := fuTypeVec
459      vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask
460    }
461    else {
462      vfWbBusyTableMask(i) := 0.U(params.numEntries.W)
463    }
464  }
465
466  wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) =>
467    val og0RespEach = io.og0Resp(i)
468    val og1RespEach = io.og1Resp(i)
469    wakeUpQueueOption.foreach {
470      wakeUpQueue =>
471        val flush = Wire(new WakeupQueueFlush)
472        flush.redirect := io.flush
473        flush.ldCancel := io.ldCancel
474        flush.og0Fail := io.og0Resp(i).valid && RSFeedbackType.isBlocked(io.og0Resp(i).bits.respType)
475        flush.og1Fail := io.og1Resp(i).valid && RSFeedbackType.isBlocked(io.og1Resp(i).bits.respType)
476        flush.finalFail := io.finalBlock(i)
477        wakeUpQueue.io.flush := flush
478        wakeUpQueue.io.enq.valid := deqBeforeDly(i).fire && {
479          deqBeforeDly(i).bits.common.rfWen.getOrElse(false.B) && deqBeforeDly(i).bits.common.pdest =/= 0.U ||
480          deqBeforeDly(i).bits.common.fpWen.getOrElse(false.B) ||
481          deqBeforeDly(i).bits.common.vecWen.getOrElse(false.B)
482        }
483        wakeUpQueue.io.enq.bits.uop :<= deqBeforeDly(i).bits.common
484        wakeUpQueue.io.enq.bits.uop.pdestCopy.foreach(_ := 0.U)
485        wakeUpQueue.io.enq.bits.lat := getDeqLat(i, deqBeforeDly(i).bits.common.fuType)
486        wakeUpQueue.io.og0IssueFail := flush.og0Fail
487        wakeUpQueue.io.og1IssueFail := flush.og1Fail
488    }
489  }
490
491  deqBeforeDly.zipWithIndex.foreach { case (deq, i) =>
492    deq.valid                := finalDeqSelValidVec(i) && !cancelDeqVec(i)
493    deq.bits.addrOH          := finalDeqSelOHVec(i)
494    deq.bits.common.isFirstIssue := deqFirstIssueVec(i)
495    deq.bits.common.iqIdx    := OHToUInt(finalDeqSelOHVec(i))
496    deq.bits.common.fuType   := deqEntryVec(i).bits.status.fuType
497    deq.bits.common.fuOpType := deqEntryVec(i).bits.payload.fuOpType
498    deq.bits.common.rfWen.foreach(_ := deqEntryVec(i).bits.payload.rfWen)
499    deq.bits.common.fpWen.foreach(_ := deqEntryVec(i).bits.payload.fpWen)
500    deq.bits.common.vecWen.foreach(_ := deqEntryVec(i).bits.payload.vecWen)
501    deq.bits.common.flushPipe.foreach(_ := deqEntryVec(i).bits.payload.flushPipe)
502    deq.bits.common.pdest := deqEntryVec(i).bits.payload.pdest
503    deq.bits.common.robIdx := deqEntryVec(i).bits.status.robIdx
504    deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach {
505      case ((sink, source), srcIdx) =>
506        sink.value := Mux(
507          SrcType.isXp(deqEntryVec(i).bits.status.srcType(srcIdx)) && deqEntryVec(i).bits.status.psrc(srcIdx) === 0.U,
508          DataSource.none,
509          source.value
510        )
511    }
512    deq.bits.common.l1ExuOH.foreach(_ := finalWakeUpL1ExuOH.get(i))
513    deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i))
514    deq.bits.common.loadDependency.foreach(_ := deqEntryVec(i).bits.status.mergedLoadDependency.get)
515    deq.bits.common.deqLdExuIdx.foreach(_ := params.backendParam.getLdExuIdx(deq.bits.exuParams).U)
516    deq.bits.common.src := DontCare
517    deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo)
518
519    deq.bits.rf.zip(deqEntryVec(i).bits.status.psrc).zip(deqEntryVec(i).bits.status.srcType).foreach { case ((rf, psrc), srcType) =>
520      // psrc in status array can be pregIdx of IntRegFile or VfRegFile
521      rf.foreach(_.addr := psrc)
522      rf.foreach(_.srcType := srcType)
523    }
524    deq.bits.srcType.zip(deqEntryVec(i).bits.status.srcType).foreach { case (sink, source) =>
525      sink := source
526    }
527    deq.bits.immType := deqEntryVec(i).bits.payload.selImm
528    deq.bits.common.imm := deqEntryVec(i).bits.imm.getOrElse(0.U)
529
530    deq.bits.common.perfDebugInfo := deqEntryVec(i).bits.payload.debugInfo
531    deq.bits.common.perfDebugInfo.selectTime := GTimer()
532    deq.bits.common.perfDebugInfo.issueTime := GTimer() + 1.U
533  }
534
535  private val deqShift = WireDefault(deqBeforeDly)
536  deqShift.zip(deqBeforeDly).foreach {
537    case (shifted, original) =>
538      original.ready := shifted.ready // this will not cause combinational loop
539      shifted.bits.common.loadDependency.foreach(
540        _ := original.bits.common.loadDependency.get.map(_ << 1)
541      )
542  }
543  io.deqDelay.zip(deqShift).foreach { case (deqDly, deq) =>
544    NewPipelineConnect(
545      deq, deqDly, deqDly.valid,
546      false.B,
547      Option("Scheduler2DataPathPipe")
548    )
549  }
550  if(backendParams.debugEn) {
551    dontTouch(io.deqDelay)
552  }
553  io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) =>
554    if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) {
555      wakeup.valid := wakeUpQueues(i).get.io.deq.valid
556      wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i))
557      wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency))
558      wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U
559    } else if (wakeUpQueues(i).nonEmpty) {
560      wakeup.valid := wakeUpQueues(i).get.io.deq.valid
561      wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits)
562      wakeup.bits.loadDependency := wakeUpQueues(i).get.io.deq.bits.loadDependency.getOrElse(0.U.asTypeOf(wakeup.bits.loadDependency))
563      wakeup.bits.is0Lat := getDeqLat(i, wakeUpQueues(i).get.io.deq.bits.fuType) === 0.U
564    } else {
565      wakeup.valid := false.B
566      wakeup.bits := 0.U.asTypeOf(wakeup.bits)
567      wakeup.bits.is0Lat :=  0.U
568    }
569    if(wakeup.bits.pdestCopy.nonEmpty){
570      wakeup.bits.pdestCopy.get := wakeUpQueues(i).get.io.deq.bits.pdestCopy.get
571    }
572  }
573
574  // Todo: better counter implementation
575  private val enqHasValid = validVec.take(params.numEnq).reduce(_ | _)
576  private val enqEntryValidCnt = PopCount(validVec.take(params.numEnq))
577  private val othersValidCnt = PopCount(validVec.drop(params.numEnq))
578  io.status.leftVec(0) := validVec.drop(params.numEnq).reduce(_ & _)
579  for (i <- 0 until params.numEnq) {
580    io.status.leftVec(i + 1) := othersValidCnt === (params.numEntries - params.numEnq - (i + 1)).U
581  }
582  private val othersLeftOneCaseVec = Wire(Vec(params.numEntries - params.numEnq, UInt((params.numEntries - params.numEnq).W)))
583  othersLeftOneCaseVec.zipWithIndex.foreach { case (leftone, i) =>
584    leftone := ~(1.U((params.numEntries - params.numEnq).W) << i)
585  }
586  private val othersLeftOne = othersLeftOneCaseVec.map(_ === VecInit(validVec.drop(params.numEnq)).asUInt).reduce(_ | _)
587  private val othersCanotIn = othersLeftOne || validVec.drop(params.numEnq).reduce(_ & _)
588
589  io.enq.foreach(_.ready := !othersCanotIn || !enqHasValid)
590  io.status.empty := !Cat(validVec).orR
591  io.status.full := othersCanotIn
592  io.status.validCnt := PopCount(validVec)
593
594  protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = {
595    Mux1H(fuLatencyMaps(deqPortIdx) map { case (k, v) => (fuType(k.id), v.U) })
596  }
597
598  // issue perf counter
599  // enq count
600  XSPerfAccumulate("enq_valid_cnt", PopCount(io.enq.map(_.fire)))
601  XSPerfAccumulate("enq_fire_cnt", PopCount(io.enq.map(_.fire)))
602  // valid count
603  XSPerfHistogram("enq_entry_valid_cnt", enqEntryValidCnt, true.B, 0, params.numEnq + 1)
604  XSPerfHistogram("other_entry_valid_cnt", othersValidCnt, true.B, 0, params.numEntries - params.numEnq + 1)
605  XSPerfHistogram("valid_cnt", PopCount(validVec), true.B, 0, params.numEntries + 1)
606  // only split when more than 1 func type
607  if (params.getFuCfgs.size > 0) {
608    for (t <- FuType.functionNameMap.keys) {
609      val fuName = FuType.functionNameMap(t)
610      if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) {
611        XSPerfHistogram(s"valid_cnt_hist_futype_${fuName}", PopCount(validVec.zip(fuTypeVec).map { case (v, fu) => v && fu === t.U }), true.B, 0, params.numEntries, 1)
612      }
613    }
614  }
615  // ready instr count
616  private val readyEntriesCnt = PopCount(validVec.zip(canIssueVec).map(x => x._1 && x._2))
617  XSPerfHistogram("ready_cnt", readyEntriesCnt, true.B, 0, params.numEntries + 1)
618  // only split when more than 1 func type
619  if (params.getFuCfgs.size > 0) {
620    for (t <- FuType.functionNameMap.keys) {
621      val fuName = FuType.functionNameMap(t)
622      if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) {
623        XSPerfHistogram(s"ready_cnt_hist_futype_${fuName}", PopCount(validVec.zip(canIssueVec).zip(fuTypeVec).map { case ((v, c), fu) => v && c && fu === t.U }), true.B, 0, params.numEntries, 1)
624      }
625    }
626  }
627
628  // deq instr count
629  XSPerfAccumulate("issue_instr_pre_count", PopCount(deqBeforeDly.map(_.valid)))
630  XSPerfHistogram("issue_instr_pre_count_hist", PopCount(deqBeforeDly.map(_.valid)), true.B, 0, params.numDeq + 1, 1)
631  XSPerfAccumulate("issue_instr_count", PopCount(io.deqDelay.map(_.valid)))
632  XSPerfHistogram("issue_instr_count_hist", PopCount(io.deqDelay.map(_.valid)), true.B, 0, params.numDeq + 1, 1)
633
634  // deq instr data source count
635  XSPerfAccumulate("issue_datasource_reg", deqBeforeDly.map{ deq =>
636    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) })
637  }.reduce(_ +& _))
638  XSPerfAccumulate("issue_datasource_bypass", deqBeforeDly.map{ deq =>
639    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) })
640  }.reduce(_ +& _))
641  XSPerfAccumulate("issue_datasource_forward", deqBeforeDly.map{ deq =>
642    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) })
643  }.reduce(_ +& _))
644  XSPerfAccumulate("issue_datasource_noreg", deqBeforeDly.map{ deq =>
645    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) })
646  }.reduce(_ +& _))
647
648  XSPerfHistogram("issue_datasource_reg_hist", deqBeforeDly.map{ deq =>
649    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) })
650  }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
651  XSPerfHistogram("issue_datasource_bypass_hist", deqBeforeDly.map{ deq =>
652    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) })
653  }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
654  XSPerfHistogram("issue_datasource_forward_hist", deqBeforeDly.map{ deq =>
655    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) })
656  }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
657  XSPerfHistogram("issue_datasource_noreg_hist", deqBeforeDly.map{ deq =>
658    PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) })
659  }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
660
661  // deq instr data source count for each futype
662  for (t <- FuType.functionNameMap.keys) {
663    val fuName = FuType.functionNameMap(t)
664    if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) {
665      XSPerfAccumulate(s"issue_datasource_reg_futype_${fuName}", deqBeforeDly.map{ deq =>
666        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
667      }.reduce(_ +& _))
668      XSPerfAccumulate(s"issue_datasource_bypass_futype_${fuName}", deqBeforeDly.map{ deq =>
669        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
670      }.reduce(_ +& _))
671      XSPerfAccumulate(s"issue_datasource_forward_futype_${fuName}", deqBeforeDly.map{ deq =>
672        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
673      }.reduce(_ +& _))
674      XSPerfAccumulate(s"issue_datasource_noreg_futype_${fuName}", deqBeforeDly.map{ deq =>
675        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
676      }.reduce(_ +& _))
677
678      XSPerfHistogram(s"issue_datasource_reg_hist_futype_${fuName}", deqBeforeDly.map{ deq =>
679        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.reg && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
680      }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
681      XSPerfHistogram(s"issue_datasource_bypass_hist_futype_${fuName}", deqBeforeDly.map{ deq =>
682        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.bypass && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
683      }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
684      XSPerfHistogram(s"issue_datasource_forward_hist_futype_${fuName}", deqBeforeDly.map{ deq =>
685        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && ds.value === DataSource.forward && !SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
686      }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
687      XSPerfHistogram(s"issue_datasource_noreg_hist_futype_${fuName}", deqBeforeDly.map{ deq =>
688        PopCount(deq.bits.common.dataSources.zipWithIndex.map{ case (ds, j) => deq.valid && SrcType.isNotReg(deq.bits.srcType(j)) && deq.bits.common.fuType === t.U })
689      }.reduce(_ +& _), true.B, 0, params.numDeq * params.numRegSrc + 1, 1)
690    }
691  }
692
693  // cancel instr count
694  if (params.hasIQWakeUp) {
695    val cancelVec: Vec[Bool] = entries.io.cancel.get
696    XSPerfAccumulate("cancel_instr_count", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2)))
697    XSPerfHistogram("cancel_instr_hist", PopCount(validVec.zip(cancelVec).map(x => x._1 & x._2)), true.B, 0, params.numEntries, 1)
698    for (t <- FuType.functionNameMap.keys) {
699      val fuName = FuType.functionNameMap(t)
700      if (params.getFuCfgs.map(_.fuType == t).reduce(_ | _)) {
701        XSPerfAccumulate(s"cancel_instr_count_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U }))
702        XSPerfHistogram(s"cancel_instr_hist_futype_${fuName}", PopCount(validVec.zip(cancelVec).zip(fuTypeVec).map{ case ((x, y), fu) => x & y & fu === t.U }), true.B, 0, params.numEntries, 1)
703      }
704    }
705  }
706}
707
708class IssueQueueJumpBundle extends Bundle {
709  val pc = UInt(VAddrData().dataWidth.W)
710}
711
712class IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle {
713  val fastMatch = UInt(backendParams.LduCnt.W)
714  val fastImm = UInt(12.W)
715}
716
717class IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO
718
719class IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams)
720  extends IssueQueueImp(wrapper)
721{
722  io.suggestName("none")
723  override lazy val io = IO(new IssueQueueIntIO).suggestName("io")
724
725  deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => {
726    deq.bits.common.pc.foreach(_ := deqEntryVec(i).bits.payload.pc)
727    deq.bits.common.preDecode.foreach(_ := deqEntryVec(i).bits.payload.preDecodeInfo)
728    deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr)
729    deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset)
730    deq.bits.common.predictInfo.foreach(x => {
731      x.target := DontCare
732      x.taken := deqEntryVec(i).bits.payload.pred_taken
733    })
734    // for std
735    deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx)
736    // for i2f
737    deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu)
738  }}
739}
740
741class IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams)
742  extends IssueQueueImp(wrapper)
743{
744  s0_enqBits.foreach{ x =>
745    x.srcType(3) := SrcType.vp // v0: mask src
746    x.srcType(4) := SrcType.vp // vl&vtype
747  }
748  deqBeforeDly.zipWithIndex.foreach{ case (deq, i) => {
749    deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu)
750    deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu)
751    deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx)
752    deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop)
753  }}
754}
755
756class IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle {
757  val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO))
758  val checkWait = new Bundle {
759    val stIssuePtr = Input(new SqPtr)
760    val memWaitUpdateReq = Flipped(new MemWaitUpdateReq)
761  }
762  val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle))
763
764  // vector
765  val sqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new SqPtr))
766  val lqDeqPtr = OptionWrapper(params.isVecMemIQ, Input(new LqPtr))
767}
768
769class IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO {
770  val memIO = Some(new IssueQueueMemBundle)
771}
772
773class IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams)
774  extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper {
775
776  require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.HyuCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ, " +
777    s"StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}")
778  println(s"[IssueQueueMemAddrImp] StdCnt: ${params.StdCnt}, LduCnt: ${params.LduCnt}, StaCnt: ${params.StaCnt}, HyuCnt: ${params.HyuCnt}")
779
780  io.suggestName("none")
781  override lazy val io = IO(new IssueQueueMemIO).suggestName("io")
782  private val memIO = io.memIO.get
783
784  memIO.loadFastMatch := 0.U.asTypeOf(memIO.loadFastMatch) // TODO: is still needed?
785
786  for (i <- io.enq.indices) {
787    val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr)
788    val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => {
789      memIO.checkWait.memWaitUpdateReq.robIdx(i).valid &&
790        memIO.checkWait.memWaitUpdateReq.robIdx(i).bits.value === io.enq(i).bits.waitForRobIdx.value
791    })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready
792    s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased
793    // when have vpu
794    if (params.VlduCnt > 0 || params.VstuCnt > 0) {
795      s0_enqBits(i).srcType(3) := SrcType.vp // v0: mask src
796      s0_enqBits(i).srcType(4) := SrcType.vp // vl&vtype
797    }
798  }
799
800  for (i <- entries.io.enq.indices) {
801    entries.io.enq(i).bits.status match { case enqData =>
802      enqData.blocked := false.B // s0_enqBits(i).loadWaitBit
803      enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict
804      enqData.mem.get.waitForStd := false.B
805      enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx
806      enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later
807      enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx
808    }
809
810    entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) =>
811      slowResp.valid                 := memIO.feedbackIO(i).feedbackSlow.valid
812      slowResp.bits.robIdx           := memIO.feedbackIO(i).feedbackSlow.bits.robIdx
813      slowResp.bits.uopIdx           := DontCare
814      slowResp.bits.respType         := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid)
815      slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx
816      slowResp.bits.rfWen := DontCare
817      slowResp.bits.fuType := DontCare
818    }
819
820    entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) =>
821      fastResp.valid                 := memIO.feedbackIO(i).feedbackFast.valid
822      fastResp.bits.robIdx           := memIO.feedbackIO(i).feedbackFast.bits.robIdx
823      fastResp.bits.uopIdx           := DontCare
824      fastResp.bits.respType         := Mux(memIO.feedbackIO(i).feedbackFast.bits.hit, RSFeedbackType.fuIdle, memIO.feedbackIO(i).feedbackFast.bits.sourceType)
825      fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx)
826      fastResp.bits.rfWen := DontCare
827      fastResp.bits.fuType := DontCare
828    }
829
830    entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq
831    entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr
832  }
833
834  deqBeforeDly.zipWithIndex.foreach { case (deq, i) =>
835    deq.bits.common.loadWaitBit.foreach(_ := deqEntryVec(i).bits.payload.loadWaitBit)
836    deq.bits.common.waitForRobIdx.foreach(_ := deqEntryVec(i).bits.payload.waitForRobIdx)
837    deq.bits.common.storeSetHit.foreach(_ := deqEntryVec(i).bits.payload.storeSetHit)
838    deq.bits.common.loadWaitStrict.foreach(_ := deqEntryVec(i).bits.payload.loadWaitStrict)
839    deq.bits.common.ssid.foreach(_ := deqEntryVec(i).bits.payload.ssid)
840    deq.bits.common.sqIdx.get := deqEntryVec(i).bits.payload.sqIdx
841    deq.bits.common.lqIdx.get := deqEntryVec(i).bits.payload.lqIdx
842    deq.bits.common.ftqIdx.foreach(_ := deqEntryVec(i).bits.payload.ftqPtr)
843    deq.bits.common.ftqOffset.foreach(_ := deqEntryVec(i).bits.payload.ftqOffset)
844    // when have vpu
845    if (params.VlduCnt > 0 || params.VstuCnt > 0) {
846      deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu)
847      deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx)
848    }
849  }
850}
851
852class IssueQueueVecMemImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams)
853  extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper {
854
855  require((params.VstdCnt + params.VlduCnt + params.VstaCnt) > 0, "IssueQueueVecMemImp can only be instance of VecMem IQ")
856
857  io.suggestName("none")
858  override lazy val io = IO(new IssueQueueMemIO).suggestName("io")
859  private val memIO = io.memIO.get
860
861  def selectOldUop(robIdx: Seq[RobPtr], uopIdx: Seq[UInt], valid: Seq[Bool]): Vec[Bool] = {
862    val compareVec = (0 until robIdx.length).map(i => (0 until i).map(j => isAfter(robIdx(j), robIdx(i)) || (robIdx(j).value === robIdx(i).value && uopIdx(i) < uopIdx(j))))
863    val resultOnehot = VecInit((0 until robIdx.length).map(i => Cat((0 until robIdx.length).map(j =>
864      (if (j < i) !valid(j) || compareVec(i)(j)
865      else if (j == i) valid(i)
866      else !valid(j) || !compareVec(j)(i))
867    )).andR))
868    resultOnehot
869  }
870
871  val robIdxVec = entries.io.robIdx.get
872  val uopIdxVec = entries.io.uopIdx.get
873  val allEntryOldestOH = selectOldUop(robIdxVec, uopIdxVec, validVec)
874
875  finalDeqSelValidVec.head := (allEntryOldestOH.asUInt & canIssueVec.asUInt).orR
876  finalDeqSelOHVec.head := allEntryOldestOH.asUInt & canIssueVec.asUInt
877
878  if (params.isVecMemAddrIQ) {
879    s0_enqBits.foreach{ x =>
880      x.srcType(3) := SrcType.vp // v0: mask src
881      x.srcType(4) := SrcType.vp // vl&vtype
882    }
883
884    for (i <- io.enq.indices) {
885      s0_enqBits(i).loadWaitBit := false.B
886    }
887
888    for (i <- entries.io.enq.indices) {
889      entries.io.enq(i).bits.status match { case enqData =>
890        enqData.blocked := false.B // s0_enqBits(i).loadWaitBit
891        enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict
892        enqData.mem.get.waitForStd := false.B
893        enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx
894        enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later
895        enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx
896      }
897
898      entries.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) =>
899        slowResp.valid                 := memIO.feedbackIO(i).feedbackSlow.valid
900        slowResp.bits.robIdx           := memIO.feedbackIO(i).feedbackSlow.bits.robIdx
901        slowResp.bits.uopIdx           := DontCare
902        slowResp.bits.respType         := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid)
903        slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx
904        slowResp.bits.rfWen := DontCare
905        slowResp.bits.fuType := DontCare
906      }
907
908      entries.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) =>
909        fastResp.valid                 := memIO.feedbackIO(i).feedbackFast.valid
910        fastResp.bits.robIdx           := memIO.feedbackIO(i).feedbackFast.bits.robIdx
911        fastResp.bits.uopIdx           := DontCare
912        fastResp.bits.respType         := memIO.feedbackIO(i).feedbackFast.bits.sourceType
913        fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx)
914        fastResp.bits.rfWen := DontCare
915        fastResp.bits.fuType := DontCare
916      }
917
918      entries.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq
919      entries.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr
920    }
921  }
922
923  for (i <- entries.io.enq.indices) {
924    entries.io.enq(i).bits.status match { case enqData =>
925      enqData.vecMem.get.sqIdx := s0_enqBits(i).sqIdx
926      enqData.vecMem.get.lqIdx := s0_enqBits(i).lqIdx
927    }
928  }
929
930  entries.io.fromLsq.get.sqDeqPtr := memIO.sqDeqPtr.get
931  entries.io.fromLsq.get.lqDeqPtr := memIO.lqDeqPtr.get
932
933  deqBeforeDly.zipWithIndex.foreach { case (deq, i) =>
934    deq.bits.common.sqIdx.foreach(_ := deqEntryVec(i).bits.payload.sqIdx)
935    deq.bits.common.lqIdx.foreach(_ := deqEntryVec(i).bits.payload.lqIdx)
936    if (params.isVecLdAddrIQ) {
937      deq.bits.common.ftqIdx.get := deqEntryVec(i).bits.payload.ftqPtr
938      deq.bits.common.ftqOffset.get := deqEntryVec(i).bits.payload.ftqOffset
939    }
940    deq.bits.common.fpu.foreach(_ := deqEntryVec(i).bits.payload.fpu)
941    deq.bits.common.vpu.foreach(_ := deqEntryVec(i).bits.payload.vpu)
942    deq.bits.common.vpu.foreach(_.vuopIdx := deqEntryVec(i).bits.payload.uopIdx)
943    deq.bits.common.vpu.foreach(_.lastUop := deqEntryVec(i).bits.payload.lastUop)
944  }
945}
946