xref: /XiangShan/src/main/scala/xiangshan/backend/issue/IssueQueue.scala (revision 125414a14d93cb981498e961285d11b193bc32bf)
1package xiangshan.backend.issue
2
3import chisel3._
4import chisel3.util._
5import xiangshan._
6import xiangshan.utils._
7
8trait IQConst{
9  val iqSize = 8
10  val iqIdxWidth = log2Up(iqSize)
11  val layer1Size = iqSize
12  val layer2Size = iqSize/2
13  val debug = false
14}
15
16sealed abstract class IQBundle extends XSBundle with IQConst
17sealed abstract class IQModule extends XSModule with IQConst //with NeedImpl
18
19sealed class CmpInputBundle extends IQBundle{
20  val instRdy = Input(Bool())
21  val roqIdx  = Input(UInt(RoqIdxWidth.W))
22  val iqIdx   = Input(UInt(iqIdxWidth.W))
23}
24
25
26sealed class CompareCircuitUnit(layer: Int = 0, id: Int = 0) extends IQModule {
27  val io = IO(new Bundle(){
28    val in1 = new CmpInputBundle
29    val in2 = new CmpInputBundle
30    val out = Flipped(new CmpInputBundle)
31  })
32
33  val roqIdx1 = io.in1.roqIdx
34  val roqIdx2 = io.in2.roqIdx
35  val iqIdx1  = io.in1.iqIdx
36  val iqIdx2  = io.in2.iqIdx
37
38  val inst1Rdy = io.in1.instRdy
39  val inst2Rdy = io.in2.instRdy
40
41  io.out.instRdy := inst1Rdy | inst2Rdy
42  io.out.roqIdx := roqIdx2
43  io.out.iqIdx := iqIdx2
44
45  when((inst1Rdy && !inst2Rdy) || (inst1Rdy && inst2Rdy && (roqIdx1 < roqIdx2))){
46    io.out.roqIdx := roqIdx1
47    io.out.iqIdx := iqIdx1
48  }
49  if(debug && (layer==3)) {
50    printf("(%d)[CCU(L%did%d)] in1.ready:%d in1.index:%d || in1.ready:%d in1.index:%d || out.ready:%d out.index:%d\n",GTimer(),layer.asUInt,id.asUInt,inst1Rdy,iqIdx1,inst2Rdy,iqIdx2,io.out.instRdy,io.out.iqIdx)
51  }
52
53
54}
55
56class IssueQueue(val fuTypeInt: BigInt, val wakeupCnt: Int, val bypassCnt: Int = 0, val fixedDelay: Int = 1) extends IQModule {
57
58  val useBypass = bypassCnt > 0
59
60  val io = IO(new Bundle() {
61    // flush Issue Queue
62    val redirect = Flipped(ValidIO(new Redirect))
63
64    // enq Ctrl sigs at dispatch-2
65    val enqCtrl = Flipped(DecoupledIO(new MicroOp))
66    // enq Data at next cycle (regfile has 1 cycle latency)
67    val enqData = Flipped(ValidIO(new ExuInput))
68
69    //  broadcast selected uop to other issue queues which has bypasses
70    val selectedUop = if(useBypass) ValidIO(new MicroOp) else null
71
72    // send to exu
73    val deq = DecoupledIO(new ExuInput)
74
75    // listen to write back bus
76    val wakeUpPorts = Vec(wakeupCnt, Flipped(ValidIO(new ExuOutput)))
77
78    // use bypass uops to speculative wake-up
79    val bypassUops = if(useBypass) Vec(bypassCnt, Flipped(ValidIO(new MicroOp))) else null
80    val bypassData = if(useBypass) Vec(bypassCnt, Flipped(ValidIO(new ExuOutput))) else null
81  })
82  //---------------------------------------------------------
83  // Issue Queue
84  //---------------------------------------------------------
85
86  //Tag Queue
87  val ctrlFlow = Mem(iqSize,new CtrlFlow)
88  val ctrlSig = Mem(iqSize,new CtrlSignals)
89  val brMask  = RegInit(VecInit(Seq.fill(iqSize)(0.U(BrqSize.W))))
90  val brTag  = RegInit(VecInit(Seq.fill(iqSize)(0.U(BrTagWidth.W))))
91  val validReg = RegInit(VecInit(Seq.fill(iqSize)(false.B)))
92  val validFire= WireInit(VecInit(Seq.fill(iqSize)(false.B)))
93  val valid = validReg.asUInt & ~validFire.asUInt
94  val src1Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B)))
95  val src2Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B)))
96  val src3Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B)))
97  val prfSrc1 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
98  val prfSrc2 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
99  val prfSrc3 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
100  val prfDest = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
101  val oldPDest = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
102  val freelistAllocPtr = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W)))
103  val roqIdx  = Reg(Vec(iqSize, UInt(RoqIdxWidth.W)))
104
105  val instRdy = WireInit(VecInit(List.tabulate(iqSize)(i => src1Rdy(i) && src2Rdy(i) && src3Rdy(i)&& valid(i))))
106
107
108  //tag enqueue
109  val iqEmty = !valid.asUInt.orR
110  val iqFull =  valid.asUInt.andR
111  val iqAllowIn = !iqFull
112  io.enqCtrl.ready := iqAllowIn
113
114  //enqueue pointer
115  val emptySlot = ~valid.asUInt
116  val enqueueSelect = PriorityEncoder(emptySlot)
117  assert(!(io.enqCtrl.valid && io.redirect.valid),"enqueue valid should be false when redirect valid")
118
119  when(io.enqCtrl.fire()){
120    ctrlFlow(enqueueSelect) := io.enqCtrl.bits.cf
121    ctrlSig(enqueueSelect) := io.enqCtrl.bits.ctrl
122    brMask(enqueueSelect) := io.enqCtrl.bits.brMask
123    brTag(enqueueSelect) := io.enqCtrl.bits.brTag
124    validReg(enqueueSelect) := true.B
125    src1Rdy(enqueueSelect) := Mux(io.enqCtrl.bits.ctrl.src1Type =/= SrcType.reg , true.B ,io.enqCtrl.bits.src1State === SrcState.rdy)
126    src2Rdy(enqueueSelect) := Mux(io.enqCtrl.bits.ctrl.src2Type =/= SrcType.reg , true.B ,io.enqCtrl.bits.src2State === SrcState.rdy)
127    src3Rdy(enqueueSelect) := Mux(io.enqCtrl.bits.ctrl.src3Type =/= SrcType.reg , true.B ,io.enqCtrl.bits.src3State === SrcState.rdy)
128    prfSrc1(enqueueSelect) := io.enqCtrl.bits.psrc1
129    prfSrc2(enqueueSelect) := io.enqCtrl.bits.psrc2
130    prfSrc3(enqueueSelect) := io.enqCtrl.bits.psrc3
131    prfDest(enqueueSelect) := io.enqCtrl.bits.pdest
132    oldPDest(enqueueSelect) := io.enqCtrl.bits.old_pdest
133    freelistAllocPtr(enqueueSelect) := io.enqCtrl.bits.freelistAllocPtr
134    roqIdx(enqueueSelect) := io.enqCtrl.bits.roqIdx
135    if(debug) {printf("(%d)[IQ enq]: enqSelect:%d | s1Rd:%d s2Rd:%d s3Rd:%d\n",GTimer(),enqueueSelect.asUInt,
136                                                                        (io.enqCtrl.bits.src1State === SrcState.rdy),
137                                                                        (io.enqCtrl.bits.src2State === SrcState.rdy),
138                                                                        (io.enqCtrl.bits.src3State === SrcState.rdy))}
139
140  }
141
142  //Data Queue
143  val src1Data = Reg(Vec(iqSize, UInt(XLEN.W)))
144  val src2Data = Reg(Vec(iqSize, UInt(XLEN.W)))
145  val src3Data = Reg(Vec(iqSize, UInt(XLEN.W)))
146
147
148  val enqSelNext = RegNext(enqueueSelect)
149  val enqFireNext = RegNext(io.enqCtrl.fire())
150
151  // Read RegFile
152  //Ready data will written at next cycle
153  when (enqFireNext) {
154    when(src1Rdy(enqSelNext)){src1Data(enqSelNext) := io.enqData.bits.src1}
155    when(src2Rdy(enqSelNext)){src2Data(enqSelNext) := io.enqData.bits.src2}
156    when(src3Rdy(enqSelNext)){src3Data(enqSelNext) := io.enqData.bits.src3}
157  }
158
159  if(debug) {
160    printf("(%d)[Reg info] enqSelNext:%d | enqFireNext:%d \n",GTimer(),enqSelNext,enqFireNext)
161    printf("(%d)[IQ content] valid |   src1rdy  src1 |  src2Rdy  src2   pdest  \n",GTimer())
162    for(i <- 0 to (iqSize -1)){
163      printf("(%d)[IQ content][%d] %d%d%d | %x %x | %x %x | %d",GTimer(),i.asUInt, valid(i), validReg(i), validFire(i), src1Rdy(i), src1Data(i), src2Rdy(i), src2Data(i),prfDest(i))
164      when(valid(i)){printf("  valid")}
165      printf(" |\n")
166    }
167  }
168  // From Common Data Bus(wakeUpPort)
169  // chisel claims that firrtl will optimize Mux1H to and/or tree
170  // TODO: ignore ALU'cdb srcRdy, for byPass has done it
171  if(wakeupCnt > 0) {
172    val cdbValid = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).valid)
173    val cdbData = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).bits.data)
174    val cdbPdest = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).bits.uop.pdest)
175
176    val srcNum = 3
177    val prfSrc = List(prfSrc1, prfSrc2, prfSrc3)
178    val srcRdy = List(src1Rdy, src2Rdy, src3Rdy)
179    val srcData = List(src1Data, src2Data, src3Data)
180    val srcHitVec = List.tabulate(srcNum)(k =>
181                      List.tabulate(iqSize)(i =>
182                        List.tabulate(wakeupCnt)(j =>
183                          (prfSrc(k)(i) === cdbPdest(j)) && cdbValid(j))))
184    val srcHit =  List.tabulate(srcNum)(k =>
185                    List.tabulate(iqSize)(i =>
186                      ParallelOR(srcHitVec(k)(i)).asBool()))
187                      // VecInit(srcHitVec(k)(i)).asUInt.orR))
188    for(k <- 0 until srcNum){
189      for(i <- 0 until iqSize)( when (valid(i)) {
190        when(!srcRdy(k)(i) && srcHit(k)(i)) {
191          srcRdy(k)(i) := true.B
192          // srcData(k)(i) := Mux1H(srcHitVec(k)(i), cdbData)
193          srcData(k)(i) := ParallelMux(srcHitVec(k)(i) zip cdbData)
194        }
195      })
196    }
197    // From byPass [speculative] (just for ALU to listen to other ALU's res, include itself)
198    // just need Tag(Ctrl). send out Tag when Tag is decided. other ALUIQ listen to them and decide Tag
199    // byPassUops is one cycle before byPassDatas
200    if (bypassCnt > 0) {
201      val bypassPdest = List.tabulate(bypassCnt)(i => io.bypassUops(i).bits.pdest)
202      val bypassValid = List.tabulate(bypassCnt)(i => io.bypassUops(i).valid) // may only need valid not fire()
203      val bypassData = List.tabulate(bypassCnt)(i => io.bypassData(i).bits.data)
204      val srcBpHitVec = List.tabulate(srcNum)(k =>
205                          List.tabulate(iqSize)(i =>
206                            List.tabulate(bypassCnt)(j =>
207                              (prfSrc(k)(i) === bypassPdest(j)) && bypassValid(j))))
208      val srcBpHit =  List.tabulate(srcNum)(k =>
209                        List.tabulate(iqSize)(i =>
210                          ParallelOR(srcBpHitVec(k)(i)).asBool()))
211                          // VecInit(srcBpHitVec(k)(i)).asUInt.orR))
212      val srcBpHitVecNext = List.tabulate(srcNum)(k =>
213                              List.tabulate(iqSize)(i =>
214                                List.tabulate(bypassCnt)(j => RegNext(srcBpHitVec(k)(i)(j)))))
215      val srcBpHitNext = List.tabulate(srcNum)(k =>
216                          List.tabulate(iqSize)(i =>
217                            RegNext(srcBpHit(k)(i))))
218      val srcBpData = List.tabulate(srcNum)(k =>
219                        List.tabulate(iqSize)(i =>
220                          ParallelMux(srcBpHitVecNext(k)(i) zip bypassData)))
221                          // Mux1H(srcBpHitVecNext(k)(i), bypassData)))
222      for(k <- 0 until srcNum){
223        for(i <- 0 until iqSize){ when (valid(i)) {
224          when(valid(i) && !srcRdy(k)(i) && srcBpHit(k)(i)) { srcRdy(k)(i) := true.B }
225          when(srcBpHitNext(k)(i)) { srcData(k)(i) := srcBpData(k)(i)}
226        }}
227      }
228    }
229  }
230
231
232  //---------------------------------------------------------
233  // Select Circuit
234  //---------------------------------------------------------
235  //layer 1
236  val layer1CCUs = (0 until layer1Size by 2) map { i =>
237    val CCU_1 = Module(new CompareCircuitUnit(layer = 1, id = i/2))
238    CCU_1.io.in1.instRdy := instRdy(i)
239    CCU_1.io.in1.roqIdx  := roqIdx(i)
240    CCU_1.io.in1.iqIdx   := i.U
241
242    CCU_1.io.in2.instRdy := instRdy(i+1)
243    CCU_1.io.in2.roqIdx  := roqIdx(i+1)
244    CCU_1.io.in2.iqIdx   := (i+1).U
245
246    CCU_1
247  }
248
249  //layer 2
250  val layer2CCUs = (0 until layer2Size by 2) map { i =>
251    val CCU_2 = Module(new CompareCircuitUnit(layer = 2, id = i/2))
252    CCU_2.io.in1.instRdy := layer1CCUs(i).io.out.instRdy
253    CCU_2.io.in1.roqIdx  := layer1CCUs(i).io.out.roqIdx
254    CCU_2.io.in1.iqIdx   := layer1CCUs(i).io.out.iqIdx
255
256    CCU_2.io.in2.instRdy := layer1CCUs(i+1).io.out.instRdy
257    CCU_2.io.in2.roqIdx  := layer1CCUs(i+1).io.out.roqIdx
258    CCU_2.io.in2.iqIdx   := layer1CCUs(i+1).io.out.iqIdx
259
260    CCU_2
261  }
262
263  //layer 3
264  val CCU_3 = Module(new CompareCircuitUnit(layer = 3, id = 0))
265  CCU_3.io.in1.instRdy := layer2CCUs(0).io.out.instRdy
266  CCU_3.io.in1.roqIdx  := layer2CCUs(0).io.out.roqIdx
267  CCU_3.io.in1.iqIdx   := layer2CCUs(0).io.out.iqIdx
268
269  CCU_3.io.in2.instRdy := layer2CCUs(1).io.out.instRdy
270  CCU_3.io.in2.roqIdx  := layer2CCUs(1).io.out.roqIdx
271  CCU_3.io.in2.iqIdx   := layer2CCUs(1).io.out.iqIdx
272
273
274  //---------------------------------------------------------
275  // Redirect Logic
276  //---------------------------------------------------------
277  val expRedirect = io.redirect.valid && io.redirect.bits.isException
278  val brRedirect = io.redirect.valid && !io.redirect.bits.isException
279
280  List.tabulate(iqSize)( i =>
281    when(brRedirect && (UIntToOH(io.redirect.bits.brTag) & brMask(i)).orR && valid(i) ){
282        validReg(i) := false.B
283    } .elsewhen(expRedirect) {
284        validReg(i) := false.B
285    }
286  )
287  //---------------------------------------------------------
288  // Dequeue Logic
289  //---------------------------------------------------------
290  //hold the sel-index to wait for data
291  val selInstIdx = RegInit(0.U(iqIdxWidth.W))
292  val selInstRdy = RegInit(false.B)
293
294  //issue the select instruction
295  val dequeueSelect = Wire(UInt(iqIdxWidth.W))
296  dequeueSelect := selInstIdx
297
298  val brRedirectMaskMatch = (UIntToOH(io.redirect.bits.brTag) & brMask(dequeueSelect)).orR
299  val IQreadyGo = selInstRdy && !expRedirect && (!brRedirect || !brRedirectMaskMatch)
300
301  io.deq.valid := IQreadyGo
302
303  io.deq.bits.uop.cf := ctrlFlow(dequeueSelect)
304  io.deq.bits.uop.ctrl := ctrlSig(dequeueSelect)
305  io.deq.bits.uop.brMask := brMask(dequeueSelect)
306  io.deq.bits.uop.brTag := brTag(dequeueSelect)
307
308  io.deq.bits.uop.psrc1 := prfSrc1(dequeueSelect)
309  io.deq.bits.uop.psrc2 := prfSrc2(dequeueSelect)
310  io.deq.bits.uop.psrc3 := prfSrc3(dequeueSelect)
311  io.deq.bits.uop.pdest := prfDest(dequeueSelect)
312  io.deq.bits.uop.old_pdest := oldPDest(dequeueSelect)
313  io.deq.bits.uop.src1State := SrcState.rdy
314  io.deq.bits.uop.src2State := SrcState.rdy
315  io.deq.bits.uop.src3State := SrcState.rdy
316  io.deq.bits.uop.freelistAllocPtr := freelistAllocPtr(dequeueSelect)
317  io.deq.bits.uop.roqIdx := roqIdx(dequeueSelect)
318
319  io.deq.bits.src1 := src1Data(dequeueSelect)
320  io.deq.bits.src2 := src2Data(dequeueSelect)
321  io.deq.bits.src3 := src3Data(dequeueSelect)
322
323  if(debug) {
324    printf("(%d)[Sel Reg] selInstRdy:%d || selIdx:%d\n",GTimer(),selInstRdy,selInstIdx.asUInt)
325    when(IQreadyGo){printf("(%d)[IQ dequeue] **fire:%d** roqIdx:%d dequeueSel:%d | src1Rd:%d src1:%d | src2Rd:%d src2:%d\n",GTimer(), io.deq.fire(), io.deq.bits.uop.roqIdx, dequeueSelect.asUInt,
326                              (io.deq.bits.uop.src1State === SrcState.rdy), io.deq.bits.uop.psrc1,
327                              (io.deq.bits.uop.src2State === SrcState.rdy), io.deq.bits.uop.psrc2
328                              )}
329  }
330
331  //update the index register of instruction that can be issue, unless function unit not allow in
332  //then the issue will be stopped to wait the function unit
333  //clear the validBit of dequeued instruction in issuequeue
334  when(io.deq.fire()){
335    validReg(dequeueSelect) := false.B
336    validFire(dequeueSelect) := true.B
337  }
338
339  val selRegflush = expRedirect || (brRedirect && brRedirectMaskMatch)
340  selInstRdy := Mux(selRegflush,false.B,CCU_3.io.out.instRdy)
341  selInstIdx := Mux(selRegflush,0.U,CCU_3.io.out.iqIdx)
342  // SelectedUop (bypass / speculative)
343  if(useBypass) {
344    def DelayPipe[T <: Data](a: T, delay: Int = 0) = {
345      // println(delay)
346      if(delay == 0) a
347      else {
348        val storage = Wire(VecInit(Seq.fill(delay+1)(a)))
349        // storage(0) := a
350        for(i <- 1 until delay) {
351          storage(i) := RegNext(storage(i-1))
352        }
353        storage(delay)
354      }
355    }
356    val sel = io.selectedUop
357    val selIQIdx = CCU_3.io.out.iqIdx
358    val delayPipe = DelayPipe(VecInit(CCU_3.io.out.instRdy, prfDest(selIQIdx)), fixedDelay-1)
359    sel.valid := delayPipe(fixedDelay-1)(0)
360    sel.bits := DontCare
361    sel.bits.pdest := delayPipe(fixedDelay-1)(1)
362  }
363}
364