1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 175844fcf0SLinJiaweipackage xiangshan.backend.rename 185844fcf0SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 205844fcf0SLinJiaweiimport chisel3._ 215844fcf0SLinJiaweiimport chisel3.util._ 223c02ee8fSwakafaimport utility._ 233b739f49SXuan Huimport utils._ 243b739f49SXuan Huimport xiangshan._ 25a0db5a4bSYinan Xuimport xiangshan.backend.decode.{FusionDecodeInfo, Imm_I, Imm_LUI_LOAD, Imm_U} 26730cfbc0SXuan Huimport xiangshan.backend.fu.FuType 2770224bf6SYinan Xuimport xiangshan.backend.rename.freelist._ 283b739f49SXuan Huimport xiangshan.backend.rob.RobPtr 2999b8dc2cSYinan Xuimport xiangshan.backend.rename.freelist._ 30980c1bc3SWilliam Wangimport xiangshan.mem.mdp._ 31730cfbc0SXuan Huimport xiangshan.backend.Bundles.{DecodedInst, DynInst} 3299b8dc2cSYinan Xu 33ccfddc82SHaojin Tangclass Rename(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper with HasPerfEvents { 34d6f9198fSXuan Hu 35d6f9198fSXuan Hu // params alias 3698639abbSXuan Hu private val numRegSrc = backendParams.numRegSrc 37d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 38d6f9198fSXuan Hu private val numVecRatPorts = numVecRegSrc + 1 // +1 dst 3998639abbSXuan Hu 4098639abbSXuan Hu println(s"[Rename] numRegSrc: $numRegSrc") 4198639abbSXuan Hu 425844fcf0SLinJiawei val io = IO(new Bundle() { 435844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 44ccfddc82SHaojin Tang val robCommits = Input(new RobCommitIO) 457fa2c198SYinan Xu // from decode 463b739f49SXuan Hu val in = Vec(RenameWidth, Flipped(DecoupledIO(new DecodedInst))) 47a0db5a4bSYinan Xu val fusionInfo = Vec(DecodeWidth - 1, Flipped(new FusionDecodeInfo)) 48980c1bc3SWilliam Wang // ssit read result 49980c1bc3SWilliam Wang val ssit = Flipped(Vec(RenameWidth, Output(new SSITEntry))) 50980c1bc3SWilliam Wang // waittable read result 51980c1bc3SWilliam Wang val waittable = Flipped(Vec(RenameWidth, Output(Bool()))) 527fa2c198SYinan Xu // to rename table 537fa2c198SYinan Xu val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W)))) 547fa2c198SYinan Xu val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W)))) 55d6f9198fSXuan Hu val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, Input(UInt(PhyRegIdxWidth.W)))) 567fa2c198SYinan Xu val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 577fa2c198SYinan Xu val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 58deb6421eSHaojin Tang val vecRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 5957c4f8d6SLinJiawei // to dispatch1 603b739f49SXuan Hu val out = Vec(RenameWidth, DecoupledIO(new DynInst)) 61ccfddc82SHaojin Tang // debug arch ports 62ccfddc82SHaojin Tang val debug_int_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 634aa9ed34Sfdy val debug_vconfig_rat = Input(UInt(PhyRegIdxWidth.W)) 64ccfddc82SHaojin Tang val debug_fp_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 653b739f49SXuan Hu val debug_vec_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 665844fcf0SLinJiawei }) 67b034d3b9SLinJiawei 688b8e745dSYikeZhou // create free list and rat 69*39c59369SXuan Hu val intFreeList = Module(new MEFreeList(IntPhyRegs)) 70*39c59369SXuan Hu val intRefCounter = Module(new RefCounter(IntPhyRegs)) 71*39c59369SXuan Hu val fpFreeList = Module(new StdFreeList(VfPhyRegs - FpLogicRegs - VecLogicRegs)) 728b8e745dSYikeZhou 73ccfddc82SHaojin Tang intRefCounter.io.commit <> io.robCommits 74ccfddc82SHaojin Tang intRefCounter.io.redirect := io.redirect.valid 75ccfddc82SHaojin Tang intRefCounter.io.debug_int_rat <> io.debug_int_rat 76ccfddc82SHaojin Tang intFreeList.io.commit <> io.robCommits 77ccfddc82SHaojin Tang intFreeList.io.debug_rat <> io.debug_int_rat 78ccfddc82SHaojin Tang fpFreeList.io.commit <> io.robCommits 79ccfddc82SHaojin Tang fpFreeList.io.debug_rat <> io.debug_fp_rat 80ccfddc82SHaojin Tang 819aca92b9SYinan Xu // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob) 82deb6421eSHaojin Tang // fp and vec share `fpFreeList` 833b739f49SXuan Hu def needDestReg[T <: DecodedInst](reg_t: RegType, x: T): Bool = reg_t match { 843b739f49SXuan Hu case Reg_I => x.rfWen && x.ldest =/= 0.U 853b739f49SXuan Hu case Reg_F => x.fpWen 863b739f49SXuan Hu case Reg_V => x.vecWen 87b034d3b9SLinJiawei } 883b739f49SXuan Hu def needDestRegCommit[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 893b739f49SXuan Hu reg_t match { 903b739f49SXuan Hu case Reg_I => x.rfWen 913b739f49SXuan Hu case Reg_F => x.fpWen 923b739f49SXuan Hu case Reg_V => x.vecWen 93fe6452fcSYinan Xu } 94deb6421eSHaojin Tang } 953b739f49SXuan Hu def needDestRegWalk[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 963b739f49SXuan Hu reg_t match { 973b739f49SXuan Hu case Reg_I => x.rfWen && x.ldest =/= 0.U 983b739f49SXuan Hu case Reg_F => x.fpWen 993b739f49SXuan Hu case Reg_V => x.vecWen 1003b739f49SXuan Hu } 101ccfddc82SHaojin Tang } 1028b8e745dSYikeZhou 103f4b2089aSYinan Xu // connect [redirect + walk] ports for __float point__ & __integer__ free list 104deb6421eSHaojin Tang Seq(fpFreeList, intFreeList).foreach { case fl => 10570224bf6SYinan Xu fl.io.redirect := io.redirect.valid 10670224bf6SYinan Xu fl.io.walk := io.robCommits.isWalk 1074efb89cbSYikeZhou } 1085eb4af5bSYikeZhou // only when both fp and int free list and dispatch1 has enough space can we do allocation 109ccfddc82SHaojin Tang // when isWalk, freelist can definitely allocate 110ccfddc82SHaojin Tang intFreeList.io.doAllocate := fpFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 111ccfddc82SHaojin Tang fpFreeList.io.doAllocate := intFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 1125eb4af5bSYikeZhou 1135eb4af5bSYikeZhou // dispatch1 ready ++ float point free list ready ++ int free list ready ++ not walk 11470224bf6SYinan Xu val canOut = io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk 1155eb4af5bSYikeZhou 116b034d3b9SLinJiawei 1179aca92b9SYinan Xu // speculatively assign the instruction with an robIdx 118a8db15d8Sfdy val validCount = PopCount(io.in.map(in => in.valid && in.bits.lastUop)) // number of instructions waiting to enter rob (from decode) 1199aca92b9SYinan Xu val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr)) 1208f77f081SYinan Xu val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself()) 121f4b2089aSYinan Xu val robIdxHeadNext = Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index 1229aca92b9SYinan Xu Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself 1239aca92b9SYinan Xu Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx 124f4b2089aSYinan Xu /* default */ robIdxHead))) // no instructions passed by this cycle: stick to old value 1259aca92b9SYinan Xu robIdxHead := robIdxHeadNext 126588ceab5SYinan Xu 12700ad41d0SYinan Xu /** 12800ad41d0SYinan Xu * Rename: allocate free physical register and update rename table 12900ad41d0SYinan Xu */ 1303b739f49SXuan Hu val uops = Wire(Vec(RenameWidth, new DynInst)) 131b034d3b9SLinJiawei uops.foreach( uop => { 132a7a8a6ccSHaojin Tang uop.srcState := DontCare 1339aca92b9SYinan Xu uop.robIdx := DontCare 1347cef916fSYinan Xu uop.debugInfo := DontCare 135bc86598fSWilliam Wang uop.lqIdx := DontCare 136bc86598fSWilliam Wang uop.sqIdx := DontCare 1373b739f49SXuan Hu uop.waitForRobIdx := DontCare 1383b739f49SXuan Hu uop.singleStep := DontCare 139b034d3b9SLinJiawei }) 140b034d3b9SLinJiawei 141ccfddc82SHaojin Tang require(RenameWidth >= CommitWidth) 142deb6421eSHaojin Tang val needVecDest = Wire(Vec(RenameWidth, Bool())) 14399b8dc2cSYinan Xu val needFpDest = Wire(Vec(RenameWidth, Bool())) 14499b8dc2cSYinan Xu val needIntDest = Wire(Vec(RenameWidth, Bool())) 145b424051cSYinan Xu val hasValid = Cat(io.in.map(_.valid)).orR 1468b8e745dSYikeZhou 1473b739f49SXuan Hu val isMove = io.in.map(_.bits.isMove) 1488b8e745dSYikeZhou 149ccfddc82SHaojin Tang val walkNeedIntDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 1503b739f49SXuan Hu val walkNeedFpDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 1513b739f49SXuan Hu val walkNeedVecDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 152ccfddc82SHaojin Tang val walkIsMove = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 153ccfddc82SHaojin Tang 1548b8e745dSYikeZhou val intSpecWen = Wire(Vec(RenameWidth, Bool())) 1558b8e745dSYikeZhou val fpSpecWen = Wire(Vec(RenameWidth, Bool())) 156deb6421eSHaojin Tang val vecSpecWen = Wire(Vec(RenameWidth, Bool())) 1578b8e745dSYikeZhou 158ccfddc82SHaojin Tang val walkIntSpecWen = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 159ccfddc82SHaojin Tang 160ccfddc82SHaojin Tang val walkPdest = Wire(Vec(RenameWidth, UInt(PhyRegIdxWidth.W))) 161ccfddc82SHaojin Tang 1628b8e745dSYikeZhou // uop calculation 163b034d3b9SLinJiawei for (i <- 0 until RenameWidth) { 1643b739f49SXuan Hu for ((name, data) <- uops(i).elements) { 1653b739f49SXuan Hu if (io.in(i).bits.elements.contains(name)) { 1663b739f49SXuan Hu data := io.in(i).bits.elements(name) 1673b739f49SXuan Hu } 1683b739f49SXuan Hu } 169b034d3b9SLinJiawei 170980c1bc3SWilliam Wang // update cf according to ssit result 1713b739f49SXuan Hu uops(i).storeSetHit := io.ssit(i).valid 1723b739f49SXuan Hu uops(i).loadWaitStrict := io.ssit(i).strict && io.ssit(i).valid 1733b739f49SXuan Hu uops(i).ssid := io.ssit(i).ssid 174980c1bc3SWilliam Wang 175980c1bc3SWilliam Wang // update cf according to waittable result 1763b739f49SXuan Hu uops(i).loadWaitBit := io.waittable(i) 177980c1bc3SWilliam Wang 1783b739f49SXuan Hu uops(i).replayInst := false.B // set by IQ or MemQ 179deb6421eSHaojin Tang // alloc a new phy reg, fp and vec share the `fpFreeList` 180deb6421eSHaojin Tang needVecDest (i) := io.in(i).valid && needDestReg(Reg_V, io.in(i).bits) 181deb6421eSHaojin Tang needFpDest (i) := io.in(i).valid && needDestReg(Reg_F, io.in(i).bits) 182deb6421eSHaojin Tang needIntDest (i) := io.in(i).valid && needDestReg(Reg_I, io.in(i).bits) 183ccfddc82SHaojin Tang if (i < CommitWidth) { 1843b739f49SXuan Hu walkNeedIntDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_I, io.robCommits.info(i)) 1853b739f49SXuan Hu walkNeedFpDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_F, io.robCommits.info(i)) 1863b739f49SXuan Hu walkNeedVecDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_V, io.robCommits.info(i)) 187ccfddc82SHaojin Tang walkIsMove(i) := io.robCommits.info(i).isMove 188ccfddc82SHaojin Tang } 1893b739f49SXuan Hu fpFreeList.io.allocateReq(i) := Mux(io.robCommits.isWalk, walkNeedFpDest(i) || walkNeedVecDest(i), needFpDest(i) || needVecDest(i)) 190ccfddc82SHaojin Tang intFreeList.io.allocateReq(i) := Mux(io.robCommits.isWalk, walkNeedIntDest(i) && !walkIsMove(i), needIntDest(i) && !isMove(i)) 1912438f9ebSYinan Xu 1928b8e745dSYikeZhou // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready 193b424051cSYinan Xu io.in(i).ready := !hasValid || canOut 19458e06390SLinJiawei 195a8db15d8Sfdy uops(i).robIdx := robIdxHead + PopCount(io.in.take(i).map(in => in.valid && in.bits.lastUop)) 196588ceab5SYinan Xu 1973b739f49SXuan Hu uops(i).psrc(0) := Mux1H(uops(i).srcType(0), Seq(io.intReadPorts(i)(0), io.fpReadPorts(i)(0), io.vecReadPorts(i)(0))) 1983b739f49SXuan Hu uops(i).psrc(1) := Mux1H(uops(i).srcType(1), Seq(io.intReadPorts(i)(1), io.fpReadPorts(i)(1), io.vecReadPorts(i)(1))) 1993b739f49SXuan Hu uops(i).psrc(2) := Mux1H(uops(i).srcType(2)(2, 1), Seq(io.fpReadPorts(i)(2), io.vecReadPorts(i)(2))) 2003b739f49SXuan Hu uops(i).psrc(3) := io.vecReadPorts(i)(3) 2013b739f49SXuan Hu uops(i).psrc(4) := io.vecReadPorts(i)(4) // Todo: vl read port 202f5710817SXuan Hu 203a0db5a4bSYinan Xu // int psrc2 should be bypassed from next instruction if it is fused 204a0db5a4bSYinan Xu if (i < RenameWidth - 1) { 205a0db5a4bSYinan Xu when (io.fusionInfo(i).rs2FromRs2 || io.fusionInfo(i).rs2FromRs1) { 206a0db5a4bSYinan Xu uops(i).psrc(1) := Mux(io.fusionInfo(i).rs2FromRs2, io.intReadPorts(i + 1)(1), io.intReadPorts(i + 1)(0)) 207a0db5a4bSYinan Xu }.elsewhen(io.fusionInfo(i).rs2FromZero) { 208a0db5a4bSYinan Xu uops(i).psrc(1) := 0.U 209a0db5a4bSYinan Xu } 210a0db5a4bSYinan Xu } 2113b739f49SXuan Hu uops(i).oldPdest := Mux1H(Seq( 2123b739f49SXuan Hu uops(i).rfWen -> io.intReadPorts(i).last, 2133b739f49SXuan Hu uops(i).fpWen -> io.fpReadPorts (i).last, 214d6f9198fSXuan Hu uops(i).vecWen -> io.vecReadPorts(i).last, 215deb6421eSHaojin Tang )) 21670224bf6SYinan Xu uops(i).eliminatedMove := isMove(i) 2178b8e745dSYikeZhou 2188b8e745dSYikeZhou // update pdest 2193b739f49SXuan Hu uops(i).pdest := MuxCase(0.U, Seq( 2203b739f49SXuan Hu needIntDest(i) -> intFreeList.io.allocatePhyReg(i), 2213b739f49SXuan Hu (needFpDest(i) || needVecDest(i)) -> fpFreeList.io.allocatePhyReg(i), 2223b739f49SXuan Hu )) 2238b8e745dSYikeZhou 224ebb8ebf8SYinan Xu // Assign performance counters 225ebb8ebf8SYinan Xu uops(i).debugInfo.renameTime := GTimer() 226ebb8ebf8SYinan Xu 22770224bf6SYinan Xu io.out(i).valid := io.in(i).valid && intFreeList.io.canAllocate && fpFreeList.io.canAllocate && !io.robCommits.isWalk 228ebb8ebf8SYinan Xu io.out(i).bits := uops(i) 2293b739f49SXuan Hu // Todo: move these shit in decode stage 230f025d715SYinan Xu // dirty code for fence. The lsrc is passed by imm. 2313b739f49SXuan Hu when (io.out(i).bits.fuType === FuType.fence.U) { 2323b739f49SXuan Hu io.out(i).bits.imm := Cat(io.in(i).bits.lsrc(1), io.in(i).bits.lsrc(0)) 233a020ce37SYinan Xu } 234d91483a6Sfdy 235f025d715SYinan Xu // dirty code for SoftPrefetch (prefetch.r/prefetch.w) 236621007d9SXuan Hu// when (io.in(i).bits.isSoftPrefetch) { 237621007d9SXuan Hu// io.out(i).bits.fuType := FuType.ldu.U 238621007d9SXuan Hu// io.out(i).bits.fuOpType := Mux(io.in(i).bits.lsrc(1) === 1.U, LSUOpType.prefetch_r, LSUOpType.prefetch_w) 239621007d9SXuan Hu// io.out(i).bits.selImm := SelImm.IMM_S 240621007d9SXuan Hu// io.out(i).bits.imm := Cat(io.in(i).bits.imm(io.in(i).bits.imm.getWidth - 1, 5), 0.U(5.W)) 241621007d9SXuan Hu// } 242ebb8ebf8SYinan Xu 2438b8e745dSYikeZhou // write speculative rename table 24439d3280eSYikeZhou // we update rat later inside commit code 24570224bf6SYinan Xu intSpecWen(i) := needIntDest(i) && intFreeList.io.canAllocate && intFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 24670224bf6SYinan Xu fpSpecWen(i) := needFpDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 247deb6421eSHaojin Tang vecSpecWen(i) := needVecDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 24870224bf6SYinan Xu 249ccfddc82SHaojin Tang if (i < CommitWidth) { 250ccfddc82SHaojin Tang walkIntSpecWen(i) := walkNeedIntDest(i) && !io.redirect.valid 251ccfddc82SHaojin Tang walkPdest(i) := io.robCommits.info(i).pdest 252ccfddc82SHaojin Tang } else { 253ccfddc82SHaojin Tang walkPdest(i) := io.out(i).bits.pdest 254ccfddc82SHaojin Tang } 255ccfddc82SHaojin Tang 256ccfddc82SHaojin Tang intRefCounter.io.allocate(i).valid := Mux(io.robCommits.isWalk, walkIntSpecWen(i), intSpecWen(i)) 257ccfddc82SHaojin Tang intRefCounter.io.allocate(i).bits := Mux(io.robCommits.isWalk, walkPdest(i), io.out(i).bits.pdest) 258b034d3b9SLinJiawei } 259b034d3b9SLinJiawei 26070224bf6SYinan Xu /** 26170224bf6SYinan Xu * How to set psrc: 26270224bf6SYinan Xu * - bypass the pdest to psrc if previous instructions write to the same ldest as lsrc 26370224bf6SYinan Xu * - default: psrc from RAT 26470224bf6SYinan Xu * How to set pdest: 26570224bf6SYinan Xu * - Mux(isMove, psrc, pdest_from_freelist). 26670224bf6SYinan Xu * 26770224bf6SYinan Xu * The critical path of rename lies here: 26870224bf6SYinan Xu * When move elimination is enabled, we need to update the rat with psrc. 26970224bf6SYinan Xu * However, psrc maybe comes from previous instructions' pdest, which comes from freelist. 27070224bf6SYinan Xu * 27170224bf6SYinan Xu * If we expand these logic for pdest(N): 27270224bf6SYinan Xu * pdest(N) = Mux(isMove(N), psrc(N), freelist_out(N)) 27370224bf6SYinan Xu * = Mux(isMove(N), Mux(bypass(N, N - 1), pdest(N - 1), 27470224bf6SYinan Xu * Mux(bypass(N, N - 2), pdest(N - 2), 27570224bf6SYinan Xu * ... 27670224bf6SYinan Xu * Mux(bypass(N, 0), pdest(0), 27770224bf6SYinan Xu * rat_out(N))...)), 27870224bf6SYinan Xu * freelist_out(N)) 27970224bf6SYinan Xu */ 28070224bf6SYinan Xu // a simple functional model for now 28170224bf6SYinan Xu io.out(0).bits.pdest := Mux(isMove(0), uops(0).psrc.head, uops(0).pdest) 2823b739f49SXuan Hu 2833b739f49SXuan Hu // psrc(n) + pdest(1) 28498639abbSXuan Hu val bypassCond: Vec[MixedVec[UInt]] = Wire(Vec(numRegSrc + 1, MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))) 28598639abbSXuan Hu require(io.in(0).bits.srcType.size == io.in(0).bits.numSrc) 28698639abbSXuan Hu private val pdestLoc = io.in.head.bits.srcType.size // 2 vector src: v0, vl&vtype 2873b739f49SXuan Hu println(s"[Rename] idx of pdest in bypassCond $pdestLoc") 28899b8dc2cSYinan Xu for (i <- 1 until RenameWidth) { 28998639abbSXuan Hu val vecCond = io.in(i).bits.srcType.map(_ === SrcType.vp) :+ needVecDest(i) 29098639abbSXuan Hu val fpCond = io.in(i).bits.srcType.map(_ === SrcType.fp) :+ needFpDest(i) 29198639abbSXuan Hu val intCond = io.in(i).bits.srcType.map(_ === SrcType.xp) :+ needIntDest(i) 29298639abbSXuan Hu val target = io.in(i).bits.lsrc :+ io.in(i).bits.ldest 293deb6421eSHaojin Tang for (((((cond1, cond2), cond3), t), j) <- vecCond.zip(fpCond).zip(intCond).zip(target).zipWithIndex) { 29470224bf6SYinan Xu val destToSrc = io.in.take(i).zipWithIndex.map { case (in, j) => 2953b739f49SXuan Hu val indexMatch = in.bits.ldest === t 296deb6421eSHaojin Tang val writeMatch = cond3 && needIntDest(j) || cond2 && needFpDest(j) || cond1 && needVecDest(j) 29770224bf6SYinan Xu indexMatch && writeMatch 29870224bf6SYinan Xu } 29970224bf6SYinan Xu bypassCond(j)(i - 1) := VecInit(destToSrc).asUInt 30070224bf6SYinan Xu } 30170224bf6SYinan Xu io.out(i).bits.psrc(0) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(0)(i-1).asBools).foldLeft(uops(i).psrc(0)) { 30270224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 30370224bf6SYinan Xu } 30470224bf6SYinan Xu io.out(i).bits.psrc(1) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(1)(i-1).asBools).foldLeft(uops(i).psrc(1)) { 30570224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 30670224bf6SYinan Xu } 30770224bf6SYinan Xu io.out(i).bits.psrc(2) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(2)(i-1).asBools).foldLeft(uops(i).psrc(2)) { 30870224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 30970224bf6SYinan Xu } 310a7a8a6ccSHaojin Tang io.out(i).bits.psrc(3) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).psrc(3)) { 311a7a8a6ccSHaojin Tang (z, next) => Mux(next._2, next._1, z) 312a7a8a6ccSHaojin Tang } 313996aacc9SXuan Hu io.out(i).bits.psrc(4) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(4)(i-1).asBools).foldLeft(uops(i).psrc(4)) { 3143b739f49SXuan Hu (z, next) => Mux(next._2, next._1, z) 3153b739f49SXuan Hu } 3163b739f49SXuan Hu io.out(i).bits.oldPdest := io.out.take(i).map(_.bits.pdest).zip(bypassCond(pdestLoc)(i-1).asBools).foldLeft(uops(i).oldPdest) { 31770224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 31870224bf6SYinan Xu } 31970224bf6SYinan Xu io.out(i).bits.pdest := Mux(isMove(i), io.out(i).bits.psrc(0), uops(i).pdest) 320fd7603d9SYinan Xu 3213b739f49SXuan Hu // Todo: better implementation for fields reuse 322fd7603d9SYinan Xu // For fused-lui-load, load.src(0) is replaced by the imm. 3233b739f49SXuan Hu val last_is_lui = io.in(i - 1).bits.selImm === SelImm.IMM_U && io.in(i - 1).bits.srcType(0) =/= SrcType.pc 3243b739f49SXuan Hu val this_is_load = io.in(i).bits.fuType === FuType.ldu.U 3253b739f49SXuan Hu val lui_to_load = io.in(i - 1).valid && io.in(i - 1).bits.ldest === io.in(i).bits.lsrc(0) 3263b739f49SXuan Hu val fused_lui_load = last_is_lui && this_is_load && lui_to_load && false.B // Todo: enable it 327fd7603d9SYinan Xu when (fused_lui_load) { 328fd7603d9SYinan Xu // The first LOAD operand (base address) is replaced by LUI-imm and stored in {psrc, imm} 3293b739f49SXuan Hu val lui_imm = io.in(i - 1).bits.imm(19, 0) 3303b739f49SXuan Hu val ld_imm = io.in(i).bits.imm 3313b739f49SXuan Hu io.out(i).bits.srcType(0) := SrcType.imm 3323b739f49SXuan Hu io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, ld_imm) 333fd7603d9SYinan Xu val psrcWidth = uops(i).psrc.head.getWidth 3343b739f49SXuan Hu val lui_imm_in_imm = 20/*Todo: uops(i).imm.getWidth*/ - Imm_I().len 335fd7603d9SYinan Xu val left_lui_imm = Imm_U().len - lui_imm_in_imm 336fd7603d9SYinan Xu require(2 * psrcWidth >= left_lui_imm, "cannot fused lui and load with psrc") 337fd7603d9SYinan Xu io.out(i).bits.psrc(0) := lui_imm(lui_imm_in_imm + psrcWidth - 1, lui_imm_in_imm) 338fd7603d9SYinan Xu io.out(i).bits.psrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + psrcWidth) 339fd7603d9SYinan Xu } 340fd7603d9SYinan Xu 341b034d3b9SLinJiawei } 34200ad41d0SYinan Xu 34300ad41d0SYinan Xu /** 34400ad41d0SYinan Xu * Instructions commit: update freelist and rename table 34500ad41d0SYinan Xu */ 34600ad41d0SYinan Xu for (i <- 0 until CommitWidth) { 3476474c47fSYinan Xu val commitValid = io.robCommits.isCommit && io.robCommits.commitValid(i) 3486474c47fSYinan Xu val walkValid = io.robCommits.isWalk && io.robCommits.walkValid(i) 34900ad41d0SYinan Xu 350deb6421eSHaojin Tang // I. RAT Update 3517fa2c198SYinan Xu // When redirect happens (mis-prediction), don't update the rename table 352deb6421eSHaojin Tang io.intRenamePorts(i).wen := intSpecWen(i) 3533b739f49SXuan Hu io.intRenamePorts(i).addr := uops(i).ldest 354deb6421eSHaojin Tang io.intRenamePorts(i).data := io.out(i).bits.pdest 3558b8e745dSYikeZhou 356deb6421eSHaojin Tang io.fpRenamePorts(i).wen := fpSpecWen(i) 3573b739f49SXuan Hu io.fpRenamePorts(i).addr := uops(i).ldest 358deb6421eSHaojin Tang io.fpRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 359deb6421eSHaojin Tang 360deb6421eSHaojin Tang io.vecRenamePorts(i).wen := vecSpecWen(i) 3613b739f49SXuan Hu io.vecRenamePorts(i).addr := uops(i).ldest 362deb6421eSHaojin Tang io.vecRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 363deb6421eSHaojin Tang 364deb6421eSHaojin Tang // II. Free List Update 36570224bf6SYinan Xu intFreeList.io.freeReq(i) := intRefCounter.io.freeRegs(i).valid 36670224bf6SYinan Xu intFreeList.io.freePhyReg(i) := intRefCounter.io.freeRegs(i).bits 3673b739f49SXuan Hu fpFreeList.io.freeReq(i) := commitValid && (needDestRegCommit(Reg_F, io.robCommits.info(i)) || needDestRegCommit(Reg_V, io.robCommits.info(i))) 368deb6421eSHaojin Tang fpFreeList.io.freePhyReg(i) := io.robCommits.info(i).old_pdest 369deb6421eSHaojin Tang 3703b739f49SXuan Hu intRefCounter.io.deallocate(i).valid := commitValid && needDestRegCommit(Reg_I, io.robCommits.info(i)) && !io.robCommits.isWalk 371ccfddc82SHaojin Tang intRefCounter.io.deallocate(i).bits := io.robCommits.info(i).old_pdest 372ccfddc82SHaojin Tang } 3736474c47fSYinan Xu 374ccfddc82SHaojin Tang when(io.robCommits.isWalk) { 375ccfddc82SHaojin Tang (intFreeList.io.allocateReq zip intFreeList.io.allocatePhyReg).take(CommitWidth) zip io.robCommits.info foreach { 376ccfddc82SHaojin Tang case ((reqValid, allocReg), commitInfo) => when(reqValid) { 377ccfddc82SHaojin Tang XSError(allocReg =/= commitInfo.pdest, "walk alloc reg =/= rob reg\n") 378ccfddc82SHaojin Tang } 379ccfddc82SHaojin Tang } 380ccfddc82SHaojin Tang (fpFreeList.io.allocateReq zip fpFreeList.io.allocatePhyReg).take(CommitWidth) zip io.robCommits.info foreach { 381ccfddc82SHaojin Tang case ((reqValid, allocReg), commitInfo) => when(reqValid) { 382ccfddc82SHaojin Tang XSError(allocReg =/= commitInfo.pdest, "walk alloc reg =/= rob reg\n") 383ccfddc82SHaojin Tang } 384ccfddc82SHaojin Tang } 3858b8e745dSYikeZhou } 3868b8e745dSYikeZhou 3878b8e745dSYikeZhou /* 38870224bf6SYinan Xu Debug and performance counters 3898b8e745dSYikeZhou */ 3903b739f49SXuan Hu def printRenameInfo(in: DecoupledIO[DecodedInst], out: DecoupledIO[DynInst]) = { 3913b739f49SXuan Hu XSInfo(out.fire, p"pc:${Hexadecimal(in.bits.pc)} in(${in.valid},${in.ready}) " + 3923b739f49SXuan Hu p"lsrc(0):${in.bits.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " + 3933b739f49SXuan Hu p"lsrc(1):${in.bits.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " + 3943b739f49SXuan Hu p"lsrc(2):${in.bits.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " + 3953b739f49SXuan Hu p"ldest:${in.bits.ldest} -> pdest:${out.bits.pdest} " + 3963b739f49SXuan Hu p"old_pdest:${out.bits.oldPdest}\n" 3973b739f49SXuan Hu // Todo: add no lsrc -> psrc map print 3988b8e745dSYikeZhou ) 3998b8e745dSYikeZhou } 4008b8e745dSYikeZhou 4018b8e745dSYikeZhou for ((x,y) <- io.in.zip(io.out)) { 4028b8e745dSYikeZhou printRenameInfo(x, y) 4038b8e745dSYikeZhou } 4048b8e745dSYikeZhou 4059aca92b9SYinan Xu XSDebug(io.robCommits.isWalk, p"Walk Recovery Enabled\n") 4066474c47fSYinan Xu XSDebug(io.robCommits.isWalk, p"validVec:${Binary(io.robCommits.walkValid.asUInt)}\n") 4078b8e745dSYikeZhou for (i <- 0 until CommitWidth) { 4089aca92b9SYinan Xu val info = io.robCommits.info(i) 4096474c47fSYinan Xu XSDebug(io.robCommits.isWalk && io.robCommits.walkValid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " + 410deb6421eSHaojin Tang p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} vecWen:${info.vecWen}" + 4118b8e745dSYikeZhou p"pdest:${info.pdest} old_pdest:${info.old_pdest}\n") 4128b8e745dSYikeZhou } 4138b8e745dSYikeZhou 4148b8e745dSYikeZhou XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n") 4158b8e745dSYikeZhou 416408a32b7SAllen XSPerfAccumulate("in", Mux(RegNext(io.in(0).ready), PopCount(io.in.map(_.valid)), 0.U)) 417408a32b7SAllen XSPerfAccumulate("utilization", PopCount(io.in.map(_.valid))) 418408a32b7SAllen XSPerfAccumulate("waitInstr", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready))) 41970224bf6SYinan Xu XSPerfAccumulate("stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 42070224bf6SYinan Xu XSPerfAccumulate("stall_cycle_fp", hasValid && io.out(0).ready && !fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 42170224bf6SYinan Xu XSPerfAccumulate("stall_cycle_int", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && !intFreeList.io.canAllocate && !io.robCommits.isWalk) 42270224bf6SYinan Xu XSPerfAccumulate("stall_cycle_walk", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk) 423eb163ef0SHaojin Tang XSPerfAccumulate("recovery_bubbles", PopCount(io.in.map(_.valid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk))) 4245eb4af5bSYikeZhou 425d8aa3d57SbugGenerator XSPerfHistogram("slots_fire", PopCount(io.out.map(_.fire)), true.B, 0, RenameWidth+1, 1) 426d8aa3d57SbugGenerator // Explaination: when out(0) not fire, PopCount(valid) is not meaningfull 427d8aa3d57SbugGenerator XSPerfHistogram("slots_valid_pure", PopCount(io.in.map(_.valid)), io.out(0).fire, 0, RenameWidth+1, 1) 428d8aa3d57SbugGenerator XSPerfHistogram("slots_valid_rough", PopCount(io.in.map(_.valid)), true.B, 0, RenameWidth+1, 1) 429d8aa3d57SbugGenerator 4303b739f49SXuan Hu XSPerfAccumulate("move_instr_count", PopCount(io.out.map(out => out.fire && out.bits.isMove))) 4313b739f49SXuan Hu val is_fused_lui_load = io.out.map(o => o.fire && o.bits.fuType === FuType.ldu.U && o.bits.srcType(0) === SrcType.imm) 432fd7603d9SYinan Xu XSPerfAccumulate("fused_lui_load_instr_count", PopCount(is_fused_lui_load)) 433cd365d4cSrvcoresjw 434cd365d4cSrvcoresjw 4351ca0e4f3SYinan Xu val renamePerf = Seq( 436cd365d4cSrvcoresjw ("rename_in ", PopCount(io.in.map(_.valid & io.in(0).ready )) ), 437cd365d4cSrvcoresjw ("rename_waitinstr ", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready)) ), 438cd365d4cSrvcoresjw ("rename_stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk), 439cd365d4cSrvcoresjw ("rename_stall_cycle_fp ", hasValid && io.out(0).ready && !fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk), 440cd365d4cSrvcoresjw ("rename_stall_cycle_int ", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && !intFreeList.io.canAllocate && !io.robCommits.isWalk), 4411ca0e4f3SYinan Xu ("rename_stall_cycle_walk ", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk) 442cd365d4cSrvcoresjw ) 4431ca0e4f3SYinan Xu val intFlPerf = intFreeList.getPerfEvents 4441ca0e4f3SYinan Xu val fpFlPerf = fpFreeList.getPerfEvents 4451ca0e4f3SYinan Xu val perfEvents = renamePerf ++ intFlPerf ++ fpFlPerf 4461ca0e4f3SYinan Xu generatePerfEvent() 4475eb4af5bSYikeZhou} 448