1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 175844fcf0SLinJiaweipackage xiangshan.backend.rename 185844fcf0SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 205844fcf0SLinJiaweiimport chisel3._ 215844fcf0SLinJiaweiimport chisel3.util._ 223c02ee8fSwakafaimport utility._ 233b739f49SXuan Huimport utils._ 243b739f49SXuan Huimport xiangshan._ 2589cc69c1STang Haojinimport xiangshan.backend.Bundles.{DecodedInst, DynInst} 26765e58c6Ssinsanctionimport xiangshan.backend.decode.{FusionDecodeInfo, ImmUnion, Imm_I, Imm_LUI_LOAD, Imm_U} 27730cfbc0SXuan Huimport xiangshan.backend.fu.FuType 2870224bf6SYinan Xuimport xiangshan.backend.rename.freelist._ 293b739f49SXuan Huimport xiangshan.backend.rob.RobPtr 30980c1bc3SWilliam Wangimport xiangshan.mem.mdp._ 3199b8dc2cSYinan Xu 32ccfddc82SHaojin Tangclass Rename(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper with HasPerfEvents { 33d6f9198fSXuan Hu 34d6f9198fSXuan Hu // params alias 3598639abbSXuan Hu private val numRegSrc = backendParams.numRegSrc 36d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 37d6f9198fSXuan Hu private val numVecRatPorts = numVecRegSrc + 1 // +1 dst 3898639abbSXuan Hu 3998639abbSXuan Hu println(s"[Rename] numRegSrc: $numRegSrc") 4098639abbSXuan Hu 415844fcf0SLinJiawei val io = IO(new Bundle() { 425844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 43ccfddc82SHaojin Tang val robCommits = Input(new RobCommitIO) 447fa2c198SYinan Xu // from decode 453b739f49SXuan Hu val in = Vec(RenameWidth, Flipped(DecoupledIO(new DecodedInst))) 46a0db5a4bSYinan Xu val fusionInfo = Vec(DecodeWidth - 1, Flipped(new FusionDecodeInfo)) 47980c1bc3SWilliam Wang // ssit read result 48980c1bc3SWilliam Wang val ssit = Flipped(Vec(RenameWidth, Output(new SSITEntry))) 49980c1bc3SWilliam Wang // waittable read result 50980c1bc3SWilliam Wang val waittable = Flipped(Vec(RenameWidth, Output(Bool()))) 517fa2c198SYinan Xu // to rename table 527fa2c198SYinan Xu val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W)))) 537fa2c198SYinan Xu val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W)))) 54d6f9198fSXuan Hu val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, Input(UInt(PhyRegIdxWidth.W)))) 557fa2c198SYinan Xu val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 567fa2c198SYinan Xu val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 57deb6421eSHaojin Tang val vecRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 58dcf3a679STang Haojin // from rename table 59dcf3a679STang Haojin val int_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W))) 60dcf3a679STang Haojin val fp_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W))) 613cf50307SZiyue Zhang val vec_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W))) 62dcf3a679STang Haojin val int_need_free = Vec(CommitWidth, Input(Bool())) 6357c4f8d6SLinJiawei // to dispatch1 643b739f49SXuan Hu val out = Vec(RenameWidth, DecoupledIO(new DynInst)) 65fa7f2c26STang Haojin // for snapshots 66fa7f2c26STang Haojin val snpt = Input(new SnapshotPort) 67ccfddc82SHaojin Tang // debug arch ports 68b7d9e8d5Sxiaofeibao-xjtu val debug_int_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None 69b7d9e8d5Sxiaofeibao-xjtu val debug_vconfig_rat = if (backendParams.debugEn) Some(Input(UInt(PhyRegIdxWidth.W))) else None 70b7d9e8d5Sxiaofeibao-xjtu val debug_fp_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None 71b7d9e8d5Sxiaofeibao-xjtu val debug_vec_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None 72d2b20d1aSTang Haojin // perf only 73d2b20d1aSTang Haojin val stallReason = new Bundle { 74d2b20d1aSTang Haojin val in = Flipped(new StallReasonIO(RenameWidth)) 75d2b20d1aSTang Haojin val out = new StallReasonIO(RenameWidth) 76d2b20d1aSTang Haojin } 775844fcf0SLinJiawei }) 78b034d3b9SLinJiawei 7989cc69c1STang Haojin val compressUnit = Module(new CompressUnit()) 808b8e745dSYikeZhou // create free list and rat 8139c59369SXuan Hu val intFreeList = Module(new MEFreeList(IntPhyRegs)) 8239c59369SXuan Hu val fpFreeList = Module(new StdFreeList(VfPhyRegs - FpLogicRegs - VecLogicRegs)) 838b8e745dSYikeZhou 84ccfddc82SHaojin Tang intFreeList.io.commit <> io.robCommits 85b7d9e8d5Sxiaofeibao-xjtu intFreeList.io.debug_rat.foreach(_ <> io.debug_int_rat.get) 86ccfddc82SHaojin Tang fpFreeList.io.commit <> io.robCommits 87b7d9e8d5Sxiaofeibao-xjtu fpFreeList.io.debug_rat.foreach(_ <> io.debug_fp_rat.get) 88ccfddc82SHaojin Tang 899aca92b9SYinan Xu // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob) 90deb6421eSHaojin Tang // fp and vec share `fpFreeList` 913b739f49SXuan Hu def needDestReg[T <: DecodedInst](reg_t: RegType, x: T): Bool = reg_t match { 923b739f49SXuan Hu case Reg_I => x.rfWen && x.ldest =/= 0.U 933b739f49SXuan Hu case Reg_F => x.fpWen 943b739f49SXuan Hu case Reg_V => x.vecWen 95b034d3b9SLinJiawei } 963b739f49SXuan Hu def needDestRegCommit[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 973b739f49SXuan Hu reg_t match { 983b739f49SXuan Hu case Reg_I => x.rfWen 993b739f49SXuan Hu case Reg_F => x.fpWen 1003b739f49SXuan Hu case Reg_V => x.vecWen 101fe6452fcSYinan Xu } 102deb6421eSHaojin Tang } 1033b739f49SXuan Hu def needDestRegWalk[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 1043b739f49SXuan Hu reg_t match { 1053b739f49SXuan Hu case Reg_I => x.rfWen && x.ldest =/= 0.U 1063b739f49SXuan Hu case Reg_F => x.fpWen 1073b739f49SXuan Hu case Reg_V => x.vecWen 1083b739f49SXuan Hu } 109ccfddc82SHaojin Tang } 1108b8e745dSYikeZhou 111f4b2089aSYinan Xu // connect [redirect + walk] ports for __float point__ & __integer__ free list 112deb6421eSHaojin Tang Seq(fpFreeList, intFreeList).foreach { case fl => 11370224bf6SYinan Xu fl.io.redirect := io.redirect.valid 11470224bf6SYinan Xu fl.io.walk := io.robCommits.isWalk 1154efb89cbSYikeZhou } 1165eb4af5bSYikeZhou // only when both fp and int free list and dispatch1 has enough space can we do allocation 117ccfddc82SHaojin Tang // when isWalk, freelist can definitely allocate 118ccfddc82SHaojin Tang intFreeList.io.doAllocate := fpFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 119ccfddc82SHaojin Tang fpFreeList.io.doAllocate := intFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 1205eb4af5bSYikeZhou 1215eb4af5bSYikeZhou // dispatch1 ready ++ float point free list ready ++ int free list ready ++ not walk 12270224bf6SYinan Xu val canOut = io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk 1235eb4af5bSYikeZhou 12489cc69c1STang Haojin compressUnit.io.in.zip(io.in).foreach{ case(sink, source) => 12589cc69c1STang Haojin sink.valid := source.valid 12689cc69c1STang Haojin sink.bits := source.bits 12789cc69c1STang Haojin } 12889cc69c1STang Haojin val needRobFlags = compressUnit.io.out.needRobFlags 12989cc69c1STang Haojin val instrSizesVec = compressUnit.io.out.instrSizes 13089cc69c1STang Haojin val compressMasksVec = compressUnit.io.out.masks 131b034d3b9SLinJiawei 1329aca92b9SYinan Xu // speculatively assign the instruction with an robIdx 13389cc69c1STang Haojin val validCount = PopCount(io.in.zip(needRobFlags).map{ case(in, needRobFlag) => in.valid && in.bits.lastUop && needRobFlag}) // number of instructions waiting to enter rob (from decode) 1349aca92b9SYinan Xu val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr)) 1358f77f081SYinan Xu val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself()) 136f4b2089aSYinan Xu val robIdxHeadNext = Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index 1379aca92b9SYinan Xu Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself 1389aca92b9SYinan Xu Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx 139f4b2089aSYinan Xu /* default */ robIdxHead))) // no instructions passed by this cycle: stick to old value 1409aca92b9SYinan Xu robIdxHead := robIdxHeadNext 141588ceab5SYinan Xu 14200ad41d0SYinan Xu /** 14300ad41d0SYinan Xu * Rename: allocate free physical register and update rename table 14400ad41d0SYinan Xu */ 1453b739f49SXuan Hu val uops = Wire(Vec(RenameWidth, new DynInst)) 146b034d3b9SLinJiawei uops.foreach( uop => { 147a7a8a6ccSHaojin Tang uop.srcState := DontCare 1487cef916fSYinan Xu uop.debugInfo := DontCare 149bc86598fSWilliam Wang uop.lqIdx := DontCare 150bc86598fSWilliam Wang uop.sqIdx := DontCare 1513b739f49SXuan Hu uop.waitForRobIdx := DontCare 1523b739f49SXuan Hu uop.singleStep := DontCare 153fa7f2c26STang Haojin uop.snapshot := DontCare 154bc7d6943SzhanglyGit uop.dataSource := DontCare 155bc7d6943SzhanglyGit uop.l1ExuOH := DontCare 156b034d3b9SLinJiawei }) 157b034d3b9SLinJiawei 158ccfddc82SHaojin Tang require(RenameWidth >= CommitWidth) 159deb6421eSHaojin Tang val needVecDest = Wire(Vec(RenameWidth, Bool())) 16099b8dc2cSYinan Xu val needFpDest = Wire(Vec(RenameWidth, Bool())) 16199b8dc2cSYinan Xu val needIntDest = Wire(Vec(RenameWidth, Bool())) 162b424051cSYinan Xu val hasValid = Cat(io.in.map(_.valid)).orR 163a63155a6SXuan Hu private val inHeadValid = io.in.head.valid 1648b8e745dSYikeZhou 165c58c2872STang Haojin val isMove = Wire(Vec(RenameWidth, Bool())) 166c58c2872STang Haojin isMove zip io.in.map(_.bits) foreach { 167c58c2872STang Haojin case (move, in) => move := Mux(in.exceptionVec.asUInt.orR, false.B, in.isMove) 168c58c2872STang Haojin } 1698b8e745dSYikeZhou 170ccfddc82SHaojin Tang val walkNeedIntDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 1713b739f49SXuan Hu val walkNeedFpDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 1723b739f49SXuan Hu val walkNeedVecDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 173ccfddc82SHaojin Tang val walkIsMove = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 174ccfddc82SHaojin Tang 1758b8e745dSYikeZhou val intSpecWen = Wire(Vec(RenameWidth, Bool())) 1768b8e745dSYikeZhou val fpSpecWen = Wire(Vec(RenameWidth, Bool())) 177deb6421eSHaojin Tang val vecSpecWen = Wire(Vec(RenameWidth, Bool())) 1788b8e745dSYikeZhou 179ccfddc82SHaojin Tang val walkIntSpecWen = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 180ccfddc82SHaojin Tang 181ccfddc82SHaojin Tang val walkPdest = Wire(Vec(RenameWidth, UInt(PhyRegIdxWidth.W))) 182ccfddc82SHaojin Tang 1838b8e745dSYikeZhou // uop calculation 184b034d3b9SLinJiawei for (i <- 0 until RenameWidth) { 1853b739f49SXuan Hu for ((name, data) <- uops(i).elements) { 1863b739f49SXuan Hu if (io.in(i).bits.elements.contains(name)) { 1873b739f49SXuan Hu data := io.in(i).bits.elements(name) 1883b739f49SXuan Hu } 1893b739f49SXuan Hu } 190b034d3b9SLinJiawei 191980c1bc3SWilliam Wang // update cf according to ssit result 1923b739f49SXuan Hu uops(i).storeSetHit := io.ssit(i).valid 1933b739f49SXuan Hu uops(i).loadWaitStrict := io.ssit(i).strict && io.ssit(i).valid 1943b739f49SXuan Hu uops(i).ssid := io.ssit(i).ssid 195980c1bc3SWilliam Wang 196980c1bc3SWilliam Wang // update cf according to waittable result 1973b739f49SXuan Hu uops(i).loadWaitBit := io.waittable(i) 198980c1bc3SWilliam Wang 1993b739f49SXuan Hu uops(i).replayInst := false.B // set by IQ or MemQ 200deb6421eSHaojin Tang // alloc a new phy reg, fp and vec share the `fpFreeList` 201deb6421eSHaojin Tang needVecDest (i) := io.in(i).valid && needDestReg(Reg_V, io.in(i).bits) 202deb6421eSHaojin Tang needFpDest (i) := io.in(i).valid && needDestReg(Reg_F, io.in(i).bits) 203deb6421eSHaojin Tang needIntDest (i) := io.in(i).valid && needDestReg(Reg_I, io.in(i).bits) 204ccfddc82SHaojin Tang if (i < CommitWidth) { 2053b739f49SXuan Hu walkNeedIntDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_I, io.robCommits.info(i)) 2063b739f49SXuan Hu walkNeedFpDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_F, io.robCommits.info(i)) 2073b739f49SXuan Hu walkNeedVecDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_V, io.robCommits.info(i)) 208ccfddc82SHaojin Tang walkIsMove(i) := io.robCommits.info(i).isMove 209ccfddc82SHaojin Tang } 210c61abc0cSXuan Hu fpFreeList.io.allocateReq(i) := needFpDest(i) || needVecDest(i) 211c61abc0cSXuan Hu fpFreeList.io.walkReq(i) := walkNeedFpDest(i) || walkNeedVecDest(i) 212dcf3a679STang Haojin intFreeList.io.allocateReq(i) := needIntDest(i) && !isMove(i) 213dcf3a679STang Haojin intFreeList.io.walkReq(i) := walkNeedIntDest(i) && !walkIsMove(i) 2142438f9ebSYinan Xu 2158b8e745dSYikeZhou // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready 216b424051cSYinan Xu io.in(i).ready := !hasValid || canOut 21758e06390SLinJiawei 21889cc69c1STang Haojin uops(i).robIdx := robIdxHead + PopCount(io.in.zip(needRobFlags).take(i).map{ case(in, needRobFlag) => in.valid && in.bits.lastUop && needRobFlag}) 21989cc69c1STang Haojin uops(i).instrSize := instrSizesVec(i) 22089cc69c1STang Haojin when(isMove(i)) { 22189cc69c1STang Haojin uops(i).numUops := 0.U 22289cc69c1STang Haojin } 22389cc69c1STang Haojin if (i > 0) { 22489cc69c1STang Haojin when(!needRobFlags(i - 1)) { 22589cc69c1STang Haojin uops(i).firstUop := false.B 22689cc69c1STang Haojin uops(i).ftqPtr := uops(i - 1).ftqPtr 22789cc69c1STang Haojin uops(i).ftqOffset := uops(i - 1).ftqOffset 22889cc69c1STang Haojin uops(i).numUops := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse)) 22989cc69c1STang Haojin } 23089cc69c1STang Haojin } 23189cc69c1STang Haojin when(!needRobFlags(i)) { 23289cc69c1STang Haojin uops(i).lastUop := false.B 23389cc69c1STang Haojin uops(i).numUops := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse)) 23489cc69c1STang Haojin } 235*f1ba628bSHaojin Tang uops(i).wfflags := (compressMasksVec(i) & Cat(io.in.map(_.bits.wfflags).reverse)).orR 236*f1ba628bSHaojin Tang uops(i).dirtyFs := (compressMasksVec(i) & Cat(io.in.map(_.bits.fpWen).reverse)).orR 237588ceab5SYinan Xu 2383b739f49SXuan Hu uops(i).psrc(0) := Mux1H(uops(i).srcType(0), Seq(io.intReadPorts(i)(0), io.fpReadPorts(i)(0), io.vecReadPorts(i)(0))) 2393b739f49SXuan Hu uops(i).psrc(1) := Mux1H(uops(i).srcType(1), Seq(io.intReadPorts(i)(1), io.fpReadPorts(i)(1), io.vecReadPorts(i)(1))) 2403b739f49SXuan Hu uops(i).psrc(2) := Mux1H(uops(i).srcType(2)(2, 1), Seq(io.fpReadPorts(i)(2), io.vecReadPorts(i)(2))) 2413b739f49SXuan Hu uops(i).psrc(3) := io.vecReadPorts(i)(3) 2423b739f49SXuan Hu uops(i).psrc(4) := io.vecReadPorts(i)(4) // Todo: vl read port 243f5710817SXuan Hu 244a0db5a4bSYinan Xu // int psrc2 should be bypassed from next instruction if it is fused 245a0db5a4bSYinan Xu if (i < RenameWidth - 1) { 246a0db5a4bSYinan Xu when (io.fusionInfo(i).rs2FromRs2 || io.fusionInfo(i).rs2FromRs1) { 247a0db5a4bSYinan Xu uops(i).psrc(1) := Mux(io.fusionInfo(i).rs2FromRs2, io.intReadPorts(i + 1)(1), io.intReadPorts(i + 1)(0)) 248a0db5a4bSYinan Xu }.elsewhen(io.fusionInfo(i).rs2FromZero) { 249a0db5a4bSYinan Xu uops(i).psrc(1) := 0.U 250a0db5a4bSYinan Xu } 251a0db5a4bSYinan Xu } 25270224bf6SYinan Xu uops(i).eliminatedMove := isMove(i) 2538b8e745dSYikeZhou 2548b8e745dSYikeZhou // update pdest 2553b739f49SXuan Hu uops(i).pdest := MuxCase(0.U, Seq( 2563b739f49SXuan Hu needIntDest(i) -> intFreeList.io.allocatePhyReg(i), 2573b739f49SXuan Hu (needFpDest(i) || needVecDest(i)) -> fpFreeList.io.allocatePhyReg(i), 2583b739f49SXuan Hu )) 2598b8e745dSYikeZhou 260ebb8ebf8SYinan Xu // Assign performance counters 261ebb8ebf8SYinan Xu uops(i).debugInfo.renameTime := GTimer() 262ebb8ebf8SYinan Xu 26370224bf6SYinan Xu io.out(i).valid := io.in(i).valid && intFreeList.io.canAllocate && fpFreeList.io.canAllocate && !io.robCommits.isWalk 264ebb8ebf8SYinan Xu io.out(i).bits := uops(i) 2653b739f49SXuan Hu // Todo: move these shit in decode stage 266f025d715SYinan Xu // dirty code for fence. The lsrc is passed by imm. 2673b739f49SXuan Hu when (io.out(i).bits.fuType === FuType.fence.U) { 2683b739f49SXuan Hu io.out(i).bits.imm := Cat(io.in(i).bits.lsrc(1), io.in(i).bits.lsrc(0)) 269a020ce37SYinan Xu } 270d91483a6Sfdy 271f025d715SYinan Xu // dirty code for SoftPrefetch (prefetch.r/prefetch.w) 272621007d9SXuan Hu// when (io.in(i).bits.isSoftPrefetch) { 273621007d9SXuan Hu// io.out(i).bits.fuType := FuType.ldu.U 274621007d9SXuan Hu// io.out(i).bits.fuOpType := Mux(io.in(i).bits.lsrc(1) === 1.U, LSUOpType.prefetch_r, LSUOpType.prefetch_w) 275621007d9SXuan Hu// io.out(i).bits.selImm := SelImm.IMM_S 276621007d9SXuan Hu// io.out(i).bits.imm := Cat(io.in(i).bits.imm(io.in(i).bits.imm.getWidth - 1, 5), 0.U(5.W)) 277621007d9SXuan Hu// } 278ebb8ebf8SYinan Xu 279765e58c6Ssinsanction // dirty code for lui+addi(w) fusion 280765e58c6Ssinsanction if (i < RenameWidth - 1) { 281765e58c6Ssinsanction val fused_lui32 = io.in(i).bits.selImm === SelImm.IMM_LUI32 && io.in(i).bits.fuType === FuType.alu.U 282765e58c6Ssinsanction when (fused_lui32) { 283765e58c6Ssinsanction val lui_imm = io.in(i).bits.imm(19, 0) 284765e58c6Ssinsanction val add_imm = io.in(i + 1).bits.imm(11, 0) 285765e58c6Ssinsanction io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, add_imm) 286765e58c6Ssinsanction val lsrcWidth = uops(i).lsrc.head.getWidth 287765e58c6Ssinsanction val lui_imm_in_imm = ImmUnion.maxLen - Imm_I().len 288765e58c6Ssinsanction val left_lui_imm = Imm_U().len - lui_imm_in_imm 289765e58c6Ssinsanction require(2 * lsrcWidth >= left_lui_imm, "cannot fused lui and addi(w) with lsrc") 290765e58c6Ssinsanction io.out(i).bits.lsrc(0) := lui_imm(lui_imm_in_imm + lsrcWidth - 1, lui_imm_in_imm) 291765e58c6Ssinsanction io.out(i).bits.lsrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + lsrcWidth) 292765e58c6Ssinsanction } 293765e58c6Ssinsanction } 294765e58c6Ssinsanction 2958b8e745dSYikeZhou // write speculative rename table 29639d3280eSYikeZhou // we update rat later inside commit code 29770224bf6SYinan Xu intSpecWen(i) := needIntDest(i) && intFreeList.io.canAllocate && intFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 29870224bf6SYinan Xu fpSpecWen(i) := needFpDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 299deb6421eSHaojin Tang vecSpecWen(i) := needVecDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 30070224bf6SYinan Xu 301ccfddc82SHaojin Tang if (i < CommitWidth) { 302ccfddc82SHaojin Tang walkIntSpecWen(i) := walkNeedIntDest(i) && !io.redirect.valid 303ccfddc82SHaojin Tang walkPdest(i) := io.robCommits.info(i).pdest 304ccfddc82SHaojin Tang } else { 305ccfddc82SHaojin Tang walkPdest(i) := io.out(i).bits.pdest 306ccfddc82SHaojin Tang } 307b034d3b9SLinJiawei } 308b034d3b9SLinJiawei 30970224bf6SYinan Xu /** 31070224bf6SYinan Xu * How to set psrc: 31170224bf6SYinan Xu * - bypass the pdest to psrc if previous instructions write to the same ldest as lsrc 31270224bf6SYinan Xu * - default: psrc from RAT 31370224bf6SYinan Xu * How to set pdest: 31470224bf6SYinan Xu * - Mux(isMove, psrc, pdest_from_freelist). 31570224bf6SYinan Xu * 31670224bf6SYinan Xu * The critical path of rename lies here: 31770224bf6SYinan Xu * When move elimination is enabled, we need to update the rat with psrc. 31870224bf6SYinan Xu * However, psrc maybe comes from previous instructions' pdest, which comes from freelist. 31970224bf6SYinan Xu * 32070224bf6SYinan Xu * If we expand these logic for pdest(N): 32170224bf6SYinan Xu * pdest(N) = Mux(isMove(N), psrc(N), freelist_out(N)) 32270224bf6SYinan Xu * = Mux(isMove(N), Mux(bypass(N, N - 1), pdest(N - 1), 32370224bf6SYinan Xu * Mux(bypass(N, N - 2), pdest(N - 2), 32470224bf6SYinan Xu * ... 32570224bf6SYinan Xu * Mux(bypass(N, 0), pdest(0), 32670224bf6SYinan Xu * rat_out(N))...)), 32770224bf6SYinan Xu * freelist_out(N)) 32870224bf6SYinan Xu */ 32970224bf6SYinan Xu // a simple functional model for now 33070224bf6SYinan Xu io.out(0).bits.pdest := Mux(isMove(0), uops(0).psrc.head, uops(0).pdest) 3313b739f49SXuan Hu 3323b739f49SXuan Hu // psrc(n) + pdest(1) 33398639abbSXuan Hu val bypassCond: Vec[MixedVec[UInt]] = Wire(Vec(numRegSrc + 1, MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))) 33498639abbSXuan Hu require(io.in(0).bits.srcType.size == io.in(0).bits.numSrc) 33598639abbSXuan Hu private val pdestLoc = io.in.head.bits.srcType.size // 2 vector src: v0, vl&vtype 3363b739f49SXuan Hu println(s"[Rename] idx of pdest in bypassCond $pdestLoc") 33799b8dc2cSYinan Xu for (i <- 1 until RenameWidth) { 33898639abbSXuan Hu val vecCond = io.in(i).bits.srcType.map(_ === SrcType.vp) :+ needVecDest(i) 33998639abbSXuan Hu val fpCond = io.in(i).bits.srcType.map(_ === SrcType.fp) :+ needFpDest(i) 34098639abbSXuan Hu val intCond = io.in(i).bits.srcType.map(_ === SrcType.xp) :+ needIntDest(i) 34198639abbSXuan Hu val target = io.in(i).bits.lsrc :+ io.in(i).bits.ldest 342deb6421eSHaojin Tang for (((((cond1, cond2), cond3), t), j) <- vecCond.zip(fpCond).zip(intCond).zip(target).zipWithIndex) { 34370224bf6SYinan Xu val destToSrc = io.in.take(i).zipWithIndex.map { case (in, j) => 3443b739f49SXuan Hu val indexMatch = in.bits.ldest === t 345deb6421eSHaojin Tang val writeMatch = cond3 && needIntDest(j) || cond2 && needFpDest(j) || cond1 && needVecDest(j) 34670224bf6SYinan Xu indexMatch && writeMatch 34770224bf6SYinan Xu } 34870224bf6SYinan Xu bypassCond(j)(i - 1) := VecInit(destToSrc).asUInt 34970224bf6SYinan Xu } 35070224bf6SYinan Xu io.out(i).bits.psrc(0) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(0)(i-1).asBools).foldLeft(uops(i).psrc(0)) { 35170224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 35270224bf6SYinan Xu } 35370224bf6SYinan Xu io.out(i).bits.psrc(1) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(1)(i-1).asBools).foldLeft(uops(i).psrc(1)) { 35470224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 35570224bf6SYinan Xu } 35670224bf6SYinan Xu io.out(i).bits.psrc(2) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(2)(i-1).asBools).foldLeft(uops(i).psrc(2)) { 35770224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 35870224bf6SYinan Xu } 359a7a8a6ccSHaojin Tang io.out(i).bits.psrc(3) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).psrc(3)) { 360a7a8a6ccSHaojin Tang (z, next) => Mux(next._2, next._1, z) 361a7a8a6ccSHaojin Tang } 362996aacc9SXuan Hu io.out(i).bits.psrc(4) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(4)(i-1).asBools).foldLeft(uops(i).psrc(4)) { 3633b739f49SXuan Hu (z, next) => Mux(next._2, next._1, z) 3643b739f49SXuan Hu } 36570224bf6SYinan Xu io.out(i).bits.pdest := Mux(isMove(i), io.out(i).bits.psrc(0), uops(i).pdest) 366fd7603d9SYinan Xu 3673b739f49SXuan Hu // Todo: better implementation for fields reuse 368fd7603d9SYinan Xu // For fused-lui-load, load.src(0) is replaced by the imm. 3693b739f49SXuan Hu val last_is_lui = io.in(i - 1).bits.selImm === SelImm.IMM_U && io.in(i - 1).bits.srcType(0) =/= SrcType.pc 3703b739f49SXuan Hu val this_is_load = io.in(i).bits.fuType === FuType.ldu.U 3713b739f49SXuan Hu val lui_to_load = io.in(i - 1).valid && io.in(i - 1).bits.ldest === io.in(i).bits.lsrc(0) 372f4dcd9fcSsinsanction val fused_lui_load = last_is_lui && this_is_load && lui_to_load 373fd7603d9SYinan Xu when (fused_lui_load) { 374fd7603d9SYinan Xu // The first LOAD operand (base address) is replaced by LUI-imm and stored in {psrc, imm} 3753b739f49SXuan Hu val lui_imm = io.in(i - 1).bits.imm(19, 0) 3763b739f49SXuan Hu val ld_imm = io.in(i).bits.imm 3773b739f49SXuan Hu io.out(i).bits.srcType(0) := SrcType.imm 3783b739f49SXuan Hu io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, ld_imm) 379fd7603d9SYinan Xu val psrcWidth = uops(i).psrc.head.getWidth 3803b739f49SXuan Hu val lui_imm_in_imm = 20/*Todo: uops(i).imm.getWidth*/ - Imm_I().len 381fd7603d9SYinan Xu val left_lui_imm = Imm_U().len - lui_imm_in_imm 382fd7603d9SYinan Xu require(2 * psrcWidth >= left_lui_imm, "cannot fused lui and load with psrc") 383fd7603d9SYinan Xu io.out(i).bits.psrc(0) := lui_imm(lui_imm_in_imm + psrcWidth - 1, lui_imm_in_imm) 384fd7603d9SYinan Xu io.out(i).bits.psrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + psrcWidth) 385fd7603d9SYinan Xu } 386fd7603d9SYinan Xu 387b034d3b9SLinJiawei } 38800ad41d0SYinan Xu 389870f462dSXuan Hu val hasCFI = VecInit(io.in.map(in => (!in.bits.preDecodeInfo.notCFI || FuType.isJump(in.bits.fuType)) && in.fire)).asUInt.orR 390fa7f2c26STang Haojin val snapshotCtr = RegInit((4 * CommitWidth).U) 391fa7f2c26STang Haojin val allowSnpt = if (EnableRenameSnapshot) !snapshotCtr.orR else false.B 392fa7f2c26STang Haojin io.out.head.bits.snapshot := hasCFI && allowSnpt 393fa7f2c26STang Haojin when(io.out.head.fire && io.out.head.bits.snapshot) { 394fa7f2c26STang Haojin snapshotCtr := (4 * CommitWidth).U - PopCount(io.out.map(_.fire)) 395fa7f2c26STang Haojin }.elsewhen(io.out.head.fire) { 396fa7f2c26STang Haojin snapshotCtr := Mux(snapshotCtr < PopCount(io.out.map(_.fire)), 0.U, snapshotCtr - PopCount(io.out.map(_.fire))) 397fa7f2c26STang Haojin } 398fa7f2c26STang Haojin 399fa7f2c26STang Haojin intFreeList.io.snpt := io.snpt 400fa7f2c26STang Haojin fpFreeList.io.snpt := io.snpt 401fa7f2c26STang Haojin intFreeList.io.snpt.snptEnq := io.out.head.fire && io.out.head.bits.snapshot 402fa7f2c26STang Haojin fpFreeList.io.snpt.snptEnq := io.out.head.fire && io.out.head.bits.snapshot 403fa7f2c26STang Haojin 40400ad41d0SYinan Xu /** 40500ad41d0SYinan Xu * Instructions commit: update freelist and rename table 40600ad41d0SYinan Xu */ 40700ad41d0SYinan Xu for (i <- 0 until CommitWidth) { 4086474c47fSYinan Xu val commitValid = io.robCommits.isCommit && io.robCommits.commitValid(i) 4096474c47fSYinan Xu val walkValid = io.robCommits.isWalk && io.robCommits.walkValid(i) 41000ad41d0SYinan Xu 411deb6421eSHaojin Tang // I. RAT Update 4127fa2c198SYinan Xu // When redirect happens (mis-prediction), don't update the rename table 413deb6421eSHaojin Tang io.intRenamePorts(i).wen := intSpecWen(i) 4143b739f49SXuan Hu io.intRenamePorts(i).addr := uops(i).ldest 415deb6421eSHaojin Tang io.intRenamePorts(i).data := io.out(i).bits.pdest 4168b8e745dSYikeZhou 417deb6421eSHaojin Tang io.fpRenamePorts(i).wen := fpSpecWen(i) 4183b739f49SXuan Hu io.fpRenamePorts(i).addr := uops(i).ldest 419deb6421eSHaojin Tang io.fpRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 420deb6421eSHaojin Tang 421deb6421eSHaojin Tang io.vecRenamePorts(i).wen := vecSpecWen(i) 4223b739f49SXuan Hu io.vecRenamePorts(i).addr := uops(i).ldest 423deb6421eSHaojin Tang io.vecRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 424deb6421eSHaojin Tang 425deb6421eSHaojin Tang // II. Free List Update 426dcf3a679STang Haojin intFreeList.io.freeReq(i) := io.int_need_free(i) 427dcf3a679STang Haojin intFreeList.io.freePhyReg(i) := RegNext(io.int_old_pdest(i)) 428c61abc0cSXuan Hu fpFreeList.io.freeReq(i) := RegNext(commitValid && (needDestRegCommit(Reg_F, io.robCommits.info(i)) || needDestRegCommit(Reg_V, io.robCommits.info(i)))) 4293cf50307SZiyue Zhang fpFreeList.io.freePhyReg(i) := Mux(RegNext(needDestRegCommit(Reg_F, io.robCommits.info(i))), io.fp_old_pdest(i), io.vec_old_pdest(i)) 4308b8e745dSYikeZhou } 4318b8e745dSYikeZhou 4328b8e745dSYikeZhou /* 43370224bf6SYinan Xu Debug and performance counters 4348b8e745dSYikeZhou */ 4353b739f49SXuan Hu def printRenameInfo(in: DecoupledIO[DecodedInst], out: DecoupledIO[DynInst]) = { 4363b739f49SXuan Hu XSInfo(out.fire, p"pc:${Hexadecimal(in.bits.pc)} in(${in.valid},${in.ready}) " + 4373b739f49SXuan Hu p"lsrc(0):${in.bits.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " + 4383b739f49SXuan Hu p"lsrc(1):${in.bits.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " + 4393b739f49SXuan Hu p"lsrc(2):${in.bits.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " + 440c61abc0cSXuan Hu p"ldest:${in.bits.ldest} -> pdest:${out.bits.pdest}\n" 4418b8e745dSYikeZhou ) 4428b8e745dSYikeZhou } 4438b8e745dSYikeZhou 4448b8e745dSYikeZhou for ((x,y) <- io.in.zip(io.out)) { 4458b8e745dSYikeZhou printRenameInfo(x, y) 4468b8e745dSYikeZhou } 4478b8e745dSYikeZhou 448d2b20d1aSTang Haojin val debugRedirect = RegEnable(io.redirect.bits, io.redirect.valid) 449d2b20d1aSTang Haojin // bad speculation 450d2b20d1aSTang Haojin val recStall = io.redirect.valid || io.robCommits.isWalk 451d2b20d1aSTang Haojin val ctrlRecStall = Mux(io.redirect.valid, io.redirect.bits.debugIsCtrl, io.robCommits.isWalk && debugRedirect.debugIsCtrl) 452d2b20d1aSTang Haojin val mvioRecStall = Mux(io.redirect.valid, io.redirect.bits.debugIsMemVio, io.robCommits.isWalk && debugRedirect.debugIsMemVio) 453d2b20d1aSTang Haojin val otherRecStall = recStall && !(ctrlRecStall || mvioRecStall) 454d2b20d1aSTang Haojin XSPerfAccumulate("recovery_stall", recStall) 455d2b20d1aSTang Haojin XSPerfAccumulate("control_recovery_stall", ctrlRecStall) 456d2b20d1aSTang Haojin XSPerfAccumulate("mem_violation_recovery_stall", mvioRecStall) 457d2b20d1aSTang Haojin XSPerfAccumulate("other_recovery_stall", otherRecStall) 458d2b20d1aSTang Haojin // freelist stall 459d2b20d1aSTang Haojin val notRecStall = !io.out.head.valid && !recStall 460a63155a6SXuan Hu val intFlStall = notRecStall && inHeadValid && !intFreeList.io.canAllocate 461a63155a6SXuan Hu val fpFlStall = notRecStall && inHeadValid && intFreeList.io.canAllocate && !fpFreeList.io.canAllocate 462d2b20d1aSTang Haojin // other stall 463d2b20d1aSTang Haojin val otherStall = notRecStall && !intFlStall && !fpFlStall 464d2b20d1aSTang Haojin 465d2b20d1aSTang Haojin io.stallReason.in.backReason.valid := io.stallReason.out.backReason.valid || !io.in.head.ready 466d2b20d1aSTang Haojin io.stallReason.in.backReason.bits := Mux(io.stallReason.out.backReason.valid, io.stallReason.out.backReason.bits, 467d2b20d1aSTang Haojin MuxCase(TopDownCounters.OtherCoreStall.id.U, Seq( 468d2b20d1aSTang Haojin ctrlRecStall -> TopDownCounters.ControlRecoveryStall.id.U, 469d2b20d1aSTang Haojin mvioRecStall -> TopDownCounters.MemVioRecoveryStall.id.U, 470d2b20d1aSTang Haojin otherRecStall -> TopDownCounters.OtherRecoveryStall.id.U, 471d2b20d1aSTang Haojin intFlStall -> TopDownCounters.IntFlStall.id.U, 472d2b20d1aSTang Haojin fpFlStall -> TopDownCounters.FpFlStall.id.U 473d2b20d1aSTang Haojin ) 474d2b20d1aSTang Haojin )) 475d2b20d1aSTang Haojin io.stallReason.out.reason.zip(io.stallReason.in.reason).zip(io.in.map(_.valid)).foreach { case ((out, in), valid) => 4760adf86dcSHaojin Tang out := Mux(io.stallReason.in.backReason.valid, io.stallReason.in.backReason.bits, in) 477d2b20d1aSTang Haojin } 478d2b20d1aSTang Haojin 4799aca92b9SYinan Xu XSDebug(io.robCommits.isWalk, p"Walk Recovery Enabled\n") 4806474c47fSYinan Xu XSDebug(io.robCommits.isWalk, p"validVec:${Binary(io.robCommits.walkValid.asUInt)}\n") 4818b8e745dSYikeZhou for (i <- 0 until CommitWidth) { 4829aca92b9SYinan Xu val info = io.robCommits.info(i) 4836474c47fSYinan Xu XSDebug(io.robCommits.isWalk && io.robCommits.walkValid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " + 484c61abc0cSXuan Hu p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} vecWen:${info.vecWen}") 4858b8e745dSYikeZhou } 4868b8e745dSYikeZhou 4878b8e745dSYikeZhou XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n") 4888b8e745dSYikeZhou 489a63155a6SXuan Hu XSPerfAccumulate("in_valid_count", PopCount(io.in.map(_.valid))) 490a63155a6SXuan Hu XSPerfAccumulate("in_fire_count", PopCount(io.in.map(_.fire))) 491a63155a6SXuan Hu XSPerfAccumulate("in_valid_not_ready_count", PopCount(io.in.map(x => x.valid && !x.ready))) 492a63155a6SXuan Hu XSPerfAccumulate("wait_cycle", !io.in.head.valid && io.out.head.ready) 4935eb4af5bSYikeZhou 494a63155a6SXuan Hu // These stall reasons could overlap each other, but we configure the priority as fellows. 495a63155a6SXuan Hu // walk stall > dispatch stall > int freelist stall > fp freelist stall 496a63155a6SXuan Hu private val inHeadStall = io.in.head match { case x => x.valid && !x.ready } 497a63155a6SXuan Hu private val stallForWalk = inHeadValid && io.robCommits.isWalk 498a63155a6SXuan Hu private val stallForDispatch = inHeadValid && !io.robCommits.isWalk && !io.out(0).ready 499a63155a6SXuan Hu private val stallForIntFL = inHeadValid && !io.robCommits.isWalk && io.out(0).ready && !intFreeList.io.canAllocate 500a63155a6SXuan Hu private val stallForFpFL = inHeadValid && !io.robCommits.isWalk && io.out(0).ready && intFreeList.io.canAllocate && !fpFreeList.io.canAllocate 501a63155a6SXuan Hu XSPerfAccumulate("stall_cycle", inHeadStall) 502a63155a6SXuan Hu XSPerfAccumulate("stall_cycle_walk", stallForWalk) 503a63155a6SXuan Hu XSPerfAccumulate("stall_cycle_dispatch", stallForDispatch) 504a63155a6SXuan Hu XSPerfAccumulate("stall_cycle_int", stallForIntFL) 505a63155a6SXuan Hu XSPerfAccumulate("stall_cycle_fp", stallForFpFL) 506a63155a6SXuan Hu 507a63155a6SXuan Hu XSPerfHistogram("in_valid_range", PopCount(io.in.map(_.valid)), true.B, 0, DecodeWidth + 1, 1) 508a63155a6SXuan Hu XSPerfHistogram("in_fire_range", PopCount(io.in.map(_.fire)), true.B, 0, DecodeWidth + 1, 1) 509a63155a6SXuan Hu XSPerfHistogram("out_valid_range", PopCount(io.out.map(_.valid)), true.B, 0, DecodeWidth + 1, 1) 510a63155a6SXuan Hu XSPerfHistogram("out_fire_range", PopCount(io.out.map(_.fire)), true.B, 0, DecodeWidth + 1, 1) 511d8aa3d57SbugGenerator 5123b739f49SXuan Hu XSPerfAccumulate("move_instr_count", PopCount(io.out.map(out => out.fire && out.bits.isMove))) 5133b739f49SXuan Hu val is_fused_lui_load = io.out.map(o => o.fire && o.bits.fuType === FuType.ldu.U && o.bits.srcType(0) === SrcType.imm) 514fd7603d9SYinan Xu XSPerfAccumulate("fused_lui_load_instr_count", PopCount(is_fused_lui_load)) 515cd365d4cSrvcoresjw 5161ca0e4f3SYinan Xu val renamePerf = Seq( 517cd365d4cSrvcoresjw ("rename_in ", PopCount(io.in.map(_.valid & io.in(0).ready )) ), 518cd365d4cSrvcoresjw ("rename_waitinstr ", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready)) ), 519a63155a6SXuan Hu ("rename_stall ", inHeadStall), 520a63155a6SXuan Hu ("rename_stall_cycle_walk ", inHeadValid && io.robCommits.isWalk), 521a63155a6SXuan Hu ("rename_stall_cycle_dispatch", inHeadValid && !io.robCommits.isWalk && !io.out(0).ready), 522a63155a6SXuan Hu ("rename_stall_cycle_int ", inHeadValid && !io.robCommits.isWalk && io.out(0).ready && !intFreeList.io.canAllocate), 523a63155a6SXuan Hu ("rename_stall_cycle_fp ", inHeadValid && !io.robCommits.isWalk && io.out(0).ready && intFreeList.io.canAllocate && !fpFreeList.io.canAllocate), 524cd365d4cSrvcoresjw ) 5251ca0e4f3SYinan Xu val intFlPerf = intFreeList.getPerfEvents 5261ca0e4f3SYinan Xu val fpFlPerf = fpFreeList.getPerfEvents 5271ca0e4f3SYinan Xu val perfEvents = renamePerf ++ intFlPerf ++ fpFlPerf 5281ca0e4f3SYinan Xu generatePerfEvent() 5295eb4af5bSYikeZhou} 530