1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rename 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utility._ 23import utils._ 24import xiangshan._ 25import xiangshan.backend.decode.{FusionDecodeInfo, Imm_I, Imm_LUI_LOAD, Imm_U} 26import xiangshan.backend.fu.FuType 27import xiangshan.backend.rename.freelist._ 28import xiangshan.backend.rob.RobPtr 29import xiangshan.mem.mdp._ 30import xiangshan.backend.Bundles.{DecodedInst, DynInst} 31 32class Rename(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper with HasPerfEvents { 33 val io = IO(new Bundle() { 34 val redirect = Flipped(ValidIO(new Redirect)) 35 val robCommits = Input(new RobCommitIO) 36 // from decode 37 val in = Vec(RenameWidth, Flipped(DecoupledIO(new DecodedInst))) 38 val fusionInfo = Vec(DecodeWidth - 1, Flipped(new FusionDecodeInfo)) 39 // ssit read result 40 val ssit = Flipped(Vec(RenameWidth, Output(new SSITEntry))) 41 // waittable read result 42 val waittable = Flipped(Vec(RenameWidth, Output(Bool()))) 43 // to rename table 44 val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W)))) 45 val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W)))) 46 val vecReadPorts = Vec(RenameWidth, Vec(5, Input(UInt(PhyRegIdxWidth.W)))) 47 val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 48 val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 49 val vecRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 50 // to dispatch1 51 val out = Vec(RenameWidth, DecoupledIO(new DynInst)) 52 // debug arch ports 53 val debug_int_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 54 val debug_vconfig_rat = Input(UInt(PhyRegIdxWidth.W)) 55 val debug_fp_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 56 val debug_vec_rat = Vec(32, Input(UInt(PhyRegIdxWidth.W))) 57 }) 58 59 // create free list and rat 60 val intFreeList = Module(new MEFreeList(NRPhyRegs)) 61 val intRefCounter = Module(new RefCounter(NRPhyRegs)) 62 val fpFreeList = Module(new StdFreeList(NRPhyRegs - FpLogicRegs - VecLogicRegs)) 63 64 intRefCounter.io.commit <> io.robCommits 65 intRefCounter.io.redirect := io.redirect.valid 66 intRefCounter.io.debug_int_rat <> io.debug_int_rat 67 intFreeList.io.commit <> io.robCommits 68 intFreeList.io.debug_rat <> io.debug_int_rat 69 fpFreeList.io.commit <> io.robCommits 70 fpFreeList.io.debug_rat <> io.debug_fp_rat 71 72 // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob) 73 // fp and vec share `fpFreeList` 74 def needDestReg[T <: DecodedInst](reg_t: RegType, x: T): Bool = reg_t match { 75 case Reg_I => x.rfWen && x.ldest =/= 0.U 76 case Reg_F => x.fpWen 77 case Reg_V => x.vecWen 78 } 79 def needDestRegCommit[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 80 reg_t match { 81 case Reg_I => x.rfWen 82 case Reg_F => x.fpWen 83 case Reg_V => x.vecWen 84 } 85 } 86 def needDestRegWalk[T <: RobCommitInfo](reg_t: RegType, x: T): Bool = { 87 reg_t match { 88 case Reg_I => x.rfWen && x.ldest =/= 0.U 89 case Reg_F => x.fpWen 90 case Reg_V => x.vecWen 91 } 92 } 93 94 // connect [redirect + walk] ports for __float point__ & __integer__ free list 95 Seq(fpFreeList, intFreeList).foreach { case fl => 96 fl.io.redirect := io.redirect.valid 97 fl.io.walk := io.robCommits.isWalk 98 } 99 // only when both fp and int free list and dispatch1 has enough space can we do allocation 100 // when isWalk, freelist can definitely allocate 101 intFreeList.io.doAllocate := fpFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 102 fpFreeList.io.doAllocate := intFreeList.io.canAllocate && io.out(0).ready || io.robCommits.isWalk 103 104 // dispatch1 ready ++ float point free list ready ++ int free list ready ++ not walk 105 val canOut = io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk 106 107 108 // speculatively assign the instruction with an robIdx 109 val validCount = PopCount(io.in.map(in => in.valid && in.bits.lastUop)) // number of instructions waiting to enter rob (from decode) 110 val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr)) 111 val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself()) 112 val robIdxHeadNext = Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index 113 Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself 114 Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx 115 /* default */ robIdxHead))) // no instructions passed by this cycle: stick to old value 116 robIdxHead := robIdxHeadNext 117 118 /** 119 * Rename: allocate free physical register and update rename table 120 */ 121 val uops = Wire(Vec(RenameWidth, new DynInst)) 122 uops.foreach( uop => { 123 uop.srcState := DontCare 124 uop.robIdx := DontCare 125 uop.debugInfo := DontCare 126 uop.lqIdx := DontCare 127 uop.sqIdx := DontCare 128 uop.waitForRobIdx := DontCare 129 uop.singleStep := DontCare 130 }) 131 132 require(RenameWidth >= CommitWidth) 133 val needVecDest = Wire(Vec(RenameWidth, Bool())) 134 val needFpDest = Wire(Vec(RenameWidth, Bool())) 135 val needIntDest = Wire(Vec(RenameWidth, Bool())) 136 val hasValid = Cat(io.in.map(_.valid)).orR 137 138 val isMove = io.in.map(_.bits.isMove) 139 140 val walkNeedIntDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 141 val walkNeedFpDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 142 val walkNeedVecDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 143 val walkIsMove = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 144 145 val intSpecWen = Wire(Vec(RenameWidth, Bool())) 146 val fpSpecWen = Wire(Vec(RenameWidth, Bool())) 147 val vecSpecWen = Wire(Vec(RenameWidth, Bool())) 148 149 val walkIntSpecWen = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B))) 150 151 val walkPdest = Wire(Vec(RenameWidth, UInt(PhyRegIdxWidth.W))) 152 153 // uop calculation 154 for (i <- 0 until RenameWidth) { 155 for ((name, data) <- uops(i).elements) { 156 if (io.in(i).bits.elements.contains(name)) { 157 data := io.in(i).bits.elements(name) 158 } 159 } 160 161 // update cf according to ssit result 162 uops(i).storeSetHit := io.ssit(i).valid 163 uops(i).loadWaitStrict := io.ssit(i).strict && io.ssit(i).valid 164 uops(i).ssid := io.ssit(i).ssid 165 166 // update cf according to waittable result 167 uops(i).loadWaitBit := io.waittable(i) 168 169 uops(i).replayInst := false.B // set by IQ or MemQ 170 // alloc a new phy reg, fp and vec share the `fpFreeList` 171 needVecDest (i) := io.in(i).valid && needDestReg(Reg_V, io.in(i).bits) 172 needFpDest (i) := io.in(i).valid && needDestReg(Reg_F, io.in(i).bits) 173 needIntDest (i) := io.in(i).valid && needDestReg(Reg_I, io.in(i).bits) 174 if (i < CommitWidth) { 175 walkNeedIntDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_I, io.robCommits.info(i)) 176 walkNeedFpDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_F, io.robCommits.info(i)) 177 walkNeedVecDest(i) := io.robCommits.walkValid(i) && needDestRegWalk(Reg_V, io.robCommits.info(i)) 178 walkIsMove(i) := io.robCommits.info(i).isMove 179 } 180 fpFreeList.io.allocateReq(i) := Mux(io.robCommits.isWalk, walkNeedFpDest(i) || walkNeedVecDest(i), needFpDest(i) || needVecDest(i)) 181 intFreeList.io.allocateReq(i) := Mux(io.robCommits.isWalk, walkNeedIntDest(i) && !walkIsMove(i), needIntDest(i) && !isMove(i)) 182 183 // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready 184 io.in(i).ready := !hasValid || canOut 185 186 uops(i).robIdx := robIdxHead + PopCount(io.in.take(i).map(in => in.valid && in.bits.lastUop)) 187 188 uops(i).psrc(0) := Mux1H(uops(i).srcType(0), Seq(io.intReadPorts(i)(0), io.fpReadPorts(i)(0), io.vecReadPorts(i)(0))) 189 uops(i).psrc(1) := Mux1H(uops(i).srcType(1), Seq(io.intReadPorts(i)(1), io.fpReadPorts(i)(1), io.vecReadPorts(i)(1))) 190 uops(i).psrc(2) := Mux1H(uops(i).srcType(2)(2, 1), Seq(io.fpReadPorts(i)(2), io.vecReadPorts(i)(2))) 191 uops(i).psrc(3) := io.vecReadPorts(i)(3) 192 uops(i).psrc(4) := io.vecReadPorts(i)(4) // Todo: vl read port 193 // int psrc2 should be bypassed from next instruction if it is fused 194 if (i < RenameWidth - 1) { 195 when (io.fusionInfo(i).rs2FromRs2 || io.fusionInfo(i).rs2FromRs1) { 196 uops(i).psrc(1) := Mux(io.fusionInfo(i).rs2FromRs2, io.intReadPorts(i + 1)(1), io.intReadPorts(i + 1)(0)) 197 }.elsewhen(io.fusionInfo(i).rs2FromZero) { 198 uops(i).psrc(1) := 0.U 199 } 200 } 201 uops(i).oldPdest := Mux1H(Seq( 202 uops(i).rfWen -> io.intReadPorts(i).last, 203 uops(i).fpWen -> io.fpReadPorts (i).last, 204 uops(i).vecWen -> io.vecReadPorts(i).last 205 )) 206 uops(i).eliminatedMove := isMove(i) 207 208 // update pdest 209 uops(i).pdest := MuxCase(0.U, Seq( 210 needIntDest(i) -> intFreeList.io.allocatePhyReg(i), 211 (needFpDest(i) || needVecDest(i)) -> fpFreeList.io.allocatePhyReg(i), 212 )) 213 214 // Assign performance counters 215 uops(i).debugInfo.renameTime := GTimer() 216 217 io.out(i).valid := io.in(i).valid && intFreeList.io.canAllocate && fpFreeList.io.canAllocate && !io.robCommits.isWalk 218 io.out(i).bits := uops(i) 219 // Todo: move these shit in decode stage 220 // dirty code for fence. The lsrc is passed by imm. 221 when (io.out(i).bits.fuType === FuType.fence.U) { 222 io.out(i).bits.imm := Cat(io.in(i).bits.lsrc(1), io.in(i).bits.lsrc(0)) 223 } 224 225 // dirty code for SoftPrefetch (prefetch.r/prefetch.w) 226// when (io.in(i).bits.isSoftPrefetch) { 227// io.out(i).bits.fuType := FuType.ldu.U 228// io.out(i).bits.fuOpType := Mux(io.in(i).bits.lsrc(1) === 1.U, LSUOpType.prefetch_r, LSUOpType.prefetch_w) 229// io.out(i).bits.selImm := SelImm.IMM_S 230// io.out(i).bits.imm := Cat(io.in(i).bits.imm(io.in(i).bits.imm.getWidth - 1, 5), 0.U(5.W)) 231// } 232 233 // write speculative rename table 234 // we update rat later inside commit code 235 intSpecWen(i) := needIntDest(i) && intFreeList.io.canAllocate && intFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 236 fpSpecWen(i) := needFpDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 237 vecSpecWen(i) := needVecDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 238 239 if (i < CommitWidth) { 240 walkIntSpecWen(i) := walkNeedIntDest(i) && !io.redirect.valid 241 walkPdest(i) := io.robCommits.info(i).pdest 242 } else { 243 walkPdest(i) := io.out(i).bits.pdest 244 } 245 246 intRefCounter.io.allocate(i).valid := Mux(io.robCommits.isWalk, walkIntSpecWen(i), intSpecWen(i)) 247 intRefCounter.io.allocate(i).bits := Mux(io.robCommits.isWalk, walkPdest(i), io.out(i).bits.pdest) 248 } 249 250 /** 251 * How to set psrc: 252 * - bypass the pdest to psrc if previous instructions write to the same ldest as lsrc 253 * - default: psrc from RAT 254 * How to set pdest: 255 * - Mux(isMove, psrc, pdest_from_freelist). 256 * 257 * The critical path of rename lies here: 258 * When move elimination is enabled, we need to update the rat with psrc. 259 * However, psrc maybe comes from previous instructions' pdest, which comes from freelist. 260 * 261 * If we expand these logic for pdest(N): 262 * pdest(N) = Mux(isMove(N), psrc(N), freelist_out(N)) 263 * = Mux(isMove(N), Mux(bypass(N, N - 1), pdest(N - 1), 264 * Mux(bypass(N, N - 2), pdest(N - 2), 265 * ... 266 * Mux(bypass(N, 0), pdest(0), 267 * rat_out(N))...)), 268 * freelist_out(N)) 269 */ 270 // a simple functional model for now 271 io.out(0).bits.pdest := Mux(isMove(0), uops(0).psrc.head, uops(0).pdest) 272 273 // psrc(n) + pdest(1) 274 private val numPSrc = 5 275 private val vconfigLregIdx = 32 // Todo: the idx of vconfig in another pregfile 276 val bypassCond = Wire(Vec(numPSrc + 1, MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))) 277 require(io.in(0).bits.srcType.size == io.in(0).bits.numLSrc) 278 private val pdestLoc = io.in.head.bits.srcType.size + 2 // 2 vector src: v0, vl&vtype 279 println(s"[Rename] idx of pdest in bypassCond $pdestLoc") 280 for (i <- 1 until RenameWidth) { 281 val vecCond = io.in(i).bits.srcType.map(_ === SrcType.vp) ++ Seq.fill(2)(true.B) :+ needVecDest(i) 282 val fpCond = io.in(i).bits.srcType.map(_ === SrcType.fp) ++ Seq.fill(2)(false.B) :+ needFpDest(i) 283 val intCond = io.in(i).bits.srcType.map(_ === SrcType.reg) ++ Seq.fill(2)(false.B) :+ needIntDest(i) 284 val target = io.in(i).bits.lsrc ++ Seq(0.U, 32.U) :+ io.in(i).bits.ldest 285 for (((((cond1, cond2), cond3), t), j) <- vecCond.zip(fpCond).zip(intCond).zip(target).zipWithIndex) { 286 val destToSrc = io.in.take(i).zipWithIndex.map { case (in, j) => 287 val indexMatch = in.bits.ldest === t 288 val writeMatch = cond3 && needIntDest(j) || cond2 && needFpDest(j) || cond1 && needVecDest(j) 289 indexMatch && writeMatch 290 } 291 bypassCond(j)(i - 1) := VecInit(destToSrc).asUInt 292 } 293 io.out(i).bits.psrc(0) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(0)(i-1).asBools).foldLeft(uops(i).psrc(0)) { 294 (z, next) => Mux(next._2, next._1, z) 295 } 296 io.out(i).bits.psrc(1) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(1)(i-1).asBools).foldLeft(uops(i).psrc(1)) { 297 (z, next) => Mux(next._2, next._1, z) 298 } 299 io.out(i).bits.psrc(2) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(2)(i-1).asBools).foldLeft(uops(i).psrc(2)) { 300 (z, next) => Mux(next._2, next._1, z) 301 } 302 io.out(i).bits.psrc(3) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).psrc(3)) { 303 (z, next) => Mux(next._2, next._1, z) 304 } 305 io.out(i).bits.psrc(4) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).psrc(3)) { 306 (z, next) => Mux(next._2, next._1, z) 307 } 308 io.out(i).bits.oldPdest := io.out.take(i).map(_.bits.pdest).zip(bypassCond(pdestLoc)(i-1).asBools).foldLeft(uops(i).oldPdest) { 309 (z, next) => Mux(next._2, next._1, z) 310 } 311 io.out(i).bits.pdest := Mux(isMove(i), io.out(i).bits.psrc(0), uops(i).pdest) 312 313 // Todo: better implementation for fields reuse 314 // For fused-lui-load, load.src(0) is replaced by the imm. 315 val last_is_lui = io.in(i - 1).bits.selImm === SelImm.IMM_U && io.in(i - 1).bits.srcType(0) =/= SrcType.pc 316 val this_is_load = io.in(i).bits.fuType === FuType.ldu.U 317 val lui_to_load = io.in(i - 1).valid && io.in(i - 1).bits.ldest === io.in(i).bits.lsrc(0) 318 val fused_lui_load = last_is_lui && this_is_load && lui_to_load && false.B // Todo: enable it 319 when (fused_lui_load) { 320 // The first LOAD operand (base address) is replaced by LUI-imm and stored in {psrc, imm} 321 val lui_imm = io.in(i - 1).bits.imm(19, 0) 322 val ld_imm = io.in(i).bits.imm 323 io.out(i).bits.srcType(0) := SrcType.imm 324 io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, ld_imm) 325 val psrcWidth = uops(i).psrc.head.getWidth 326 val lui_imm_in_imm = 20/*Todo: uops(i).imm.getWidth*/ - Imm_I().len 327 val left_lui_imm = Imm_U().len - lui_imm_in_imm 328 require(2 * psrcWidth >= left_lui_imm, "cannot fused lui and load with psrc") 329 io.out(i).bits.psrc(0) := lui_imm(lui_imm_in_imm + psrcWidth - 1, lui_imm_in_imm) 330 io.out(i).bits.psrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + psrcWidth) 331 } 332 333 } 334 335 /** 336 * Instructions commit: update freelist and rename table 337 */ 338 for (i <- 0 until CommitWidth) { 339 val commitValid = io.robCommits.isCommit && io.robCommits.commitValid(i) 340 val walkValid = io.robCommits.isWalk && io.robCommits.walkValid(i) 341 342 // I. RAT Update 343 // When redirect happens (mis-prediction), don't update the rename table 344 io.intRenamePorts(i).wen := intSpecWen(i) 345 io.intRenamePorts(i).addr := uops(i).ldest 346 io.intRenamePorts(i).data := io.out(i).bits.pdest 347 348 io.fpRenamePorts(i).wen := fpSpecWen(i) 349 io.fpRenamePorts(i).addr := uops(i).ldest 350 io.fpRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 351 352 io.vecRenamePorts(i).wen := vecSpecWen(i) 353 io.vecRenamePorts(i).addr := uops(i).ldest 354 io.vecRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i) 355 356 // II. Free List Update 357 intFreeList.io.freeReq(i) := intRefCounter.io.freeRegs(i).valid 358 intFreeList.io.freePhyReg(i) := intRefCounter.io.freeRegs(i).bits 359 fpFreeList.io.freeReq(i) := commitValid && (needDestRegCommit(Reg_F, io.robCommits.info(i)) || needDestRegCommit(Reg_V, io.robCommits.info(i))) 360 fpFreeList.io.freePhyReg(i) := io.robCommits.info(i).old_pdest 361 362 intRefCounter.io.deallocate(i).valid := commitValid && needDestRegCommit(Reg_I, io.robCommits.info(i)) && !io.robCommits.isWalk 363 intRefCounter.io.deallocate(i).bits := io.robCommits.info(i).old_pdest 364 } 365 366 when(io.robCommits.isWalk) { 367 (intFreeList.io.allocateReq zip intFreeList.io.allocatePhyReg).take(CommitWidth) zip io.robCommits.info foreach { 368 case ((reqValid, allocReg), commitInfo) => when(reqValid) { 369 XSError(allocReg =/= commitInfo.pdest, "walk alloc reg =/= rob reg\n") 370 } 371 } 372 (fpFreeList.io.allocateReq zip fpFreeList.io.allocatePhyReg).take(CommitWidth) zip io.robCommits.info foreach { 373 case ((reqValid, allocReg), commitInfo) => when(reqValid) { 374 XSError(allocReg =/= commitInfo.pdest, "walk alloc reg =/= rob reg\n") 375 } 376 } 377 } 378 379 /* 380 Debug and performance counters 381 */ 382 def printRenameInfo(in: DecoupledIO[DecodedInst], out: DecoupledIO[DynInst]) = { 383 XSInfo(out.fire, p"pc:${Hexadecimal(in.bits.pc)} in(${in.valid},${in.ready}) " + 384 p"lsrc(0):${in.bits.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " + 385 p"lsrc(1):${in.bits.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " + 386 p"lsrc(2):${in.bits.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " + 387 p"ldest:${in.bits.ldest} -> pdest:${out.bits.pdest} " + 388 p"old_pdest:${out.bits.oldPdest}\n" 389 // Todo: add no lsrc -> psrc map print 390 ) 391 } 392 393 for ((x,y) <- io.in.zip(io.out)) { 394 printRenameInfo(x, y) 395 } 396 397 XSDebug(io.robCommits.isWalk, p"Walk Recovery Enabled\n") 398 XSDebug(io.robCommits.isWalk, p"validVec:${Binary(io.robCommits.walkValid.asUInt)}\n") 399 for (i <- 0 until CommitWidth) { 400 val info = io.robCommits.info(i) 401 XSDebug(io.robCommits.isWalk && io.robCommits.walkValid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " + 402 p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} vecWen:${info.vecWen}" + 403 p"pdest:${info.pdest} old_pdest:${info.old_pdest}\n") 404 } 405 406 XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n") 407 408 XSPerfAccumulate("in", Mux(RegNext(io.in(0).ready), PopCount(io.in.map(_.valid)), 0.U)) 409 XSPerfAccumulate("utilization", PopCount(io.in.map(_.valid))) 410 XSPerfAccumulate("waitInstr", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready))) 411 XSPerfAccumulate("stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 412 XSPerfAccumulate("stall_cycle_fp", hasValid && io.out(0).ready && !fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 413 XSPerfAccumulate("stall_cycle_int", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && !intFreeList.io.canAllocate && !io.robCommits.isWalk) 414 XSPerfAccumulate("stall_cycle_walk", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk) 415 XSPerfAccumulate("recovery_bubbles", PopCount(io.in.map(_.valid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk))) 416 417 XSPerfHistogram("slots_fire", PopCount(io.out.map(_.fire)), true.B, 0, RenameWidth+1, 1) 418 // Explaination: when out(0) not fire, PopCount(valid) is not meaningfull 419 XSPerfHistogram("slots_valid_pure", PopCount(io.in.map(_.valid)), io.out(0).fire, 0, RenameWidth+1, 1) 420 XSPerfHistogram("slots_valid_rough", PopCount(io.in.map(_.valid)), true.B, 0, RenameWidth+1, 1) 421 422 XSPerfAccumulate("move_instr_count", PopCount(io.out.map(out => out.fire && out.bits.isMove))) 423 val is_fused_lui_load = io.out.map(o => o.fire && o.bits.fuType === FuType.ldu.U && o.bits.srcType(0) === SrcType.imm) 424 XSPerfAccumulate("fused_lui_load_instr_count", PopCount(is_fused_lui_load)) 425 426 427 val renamePerf = Seq( 428 ("rename_in ", PopCount(io.in.map(_.valid & io.in(0).ready )) ), 429 ("rename_waitinstr ", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready)) ), 430 ("rename_stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk), 431 ("rename_stall_cycle_fp ", hasValid && io.out(0).ready && !fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk), 432 ("rename_stall_cycle_int ", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && !intFreeList.io.canAllocate && !io.robCommits.isWalk), 433 ("rename_stall_cycle_walk ", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk) 434 ) 435 val intFlPerf = intFreeList.getPerfEvents 436 val fpFlPerf = fpFreeList.getPerfEvents 437 val perfEvents = renamePerf ++ intFlPerf ++ fpFlPerf 438 generatePerfEvent() 439} 440