1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.cache 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.tilelink.ClientMetadata 23import utility.{ParallelPriorityMux, OneHot, ChiselDB, ParallelORR, ParallelMux, XSDebug, XSPerfAccumulate, HasPerfEvents} 24import xiangshan.{XSCoreParamsKey, L1CacheErrorInfo} 25import xiangshan.cache.wpu._ 26import xiangshan.mem.HasL1PrefetchSourceParameter 27import xiangshan.mem.prefetch._ 28import xiangshan.mem.LqPtr 29 30class LoadPfDbBundle(implicit p: Parameters) extends DCacheBundle { 31 val paddr = UInt(PAddrBits.W) 32} 33 34class LoadPipe(id: Int)(implicit p: Parameters) extends DCacheModule with HasPerfEvents with HasL1PrefetchSourceParameter { 35 val io = IO(new DCacheBundle { 36 // incoming requests 37 val lsu = Flipped(new DCacheLoadIO) 38 val dwpu = Flipped(new DwpuBaseIO(nWays = nWays, nPorts = 1)) 39 val load128Req = Input(Bool()) 40 // req got nacked in stage 0? 41 val nack = Input(Bool()) 42 43 // meta and data array read port 44 val meta_read = DecoupledIO(new MetaReadReq) 45 val meta_resp = Input(Vec(nWays, new Meta)) 46 val extra_meta_resp = Input(Vec(nWays, new DCacheExtraMeta)) 47 48 val tag_read = DecoupledIO(new TagReadReq) 49 val tag_resp = Input(Vec(nWays, UInt(encTagBits.W))) 50 val vtag_update = Flipped(DecoupledIO(new TagWriteReq)) 51 52 val banked_data_read = DecoupledIO(new L1BankedDataReadReqWithMask) 53 val is128Req = Output(Bool()) 54 val banked_data_resp = Input(Vec(VLEN/DCacheSRAMRowBits, new L1BankedDataReadResult())) 55 val read_error_delayed = Input(Vec(VLEN/DCacheSRAMRowBits, Bool())) 56 57 // access bit update 58 val access_flag_write = DecoupledIO(new FlagMetaWriteReq) 59 val prefetch_flag_write = DecoupledIO(new SourceMetaWriteReq) 60 61 // banked data read conflict 62 val bank_conflict_slow = Input(Bool()) 63 64 // send miss request to miss queue 65 val miss_req = DecoupledIO(new MissReq) 66 val miss_resp = Input(new MissResp) 67 68 // send miss request to wbq 69 val wbq_conflict_check = Valid(UInt()) 70 val wbq_block_miss_req = Input(Bool()) 71 72 // update state vec in replacement algo 73 val replace_access = ValidIO(new ReplacementAccessBundle) 74 // find the way to be replaced 75 val replace_way = new ReplacementWayReqIO 76 77 // load fast wakeup should be disabled when data read is not ready 78 val disable_ld_fast_wakeup = Input(Bool()) 79 80 // ecc error 81 val error = Output(ValidIO(new L1CacheErrorInfo)) 82 val pseudo_error = Flipped(DecoupledIO(Vec(DCacheBanks, new CtrlUnitSignalingBundle))) 83 val pseudo_tag_error_inj_done = Output(Bool()) 84 val pseudo_data_error_inj_done = Output(Bool()) 85 86 val prefetch_info = new Bundle { 87 val naive = new Bundle { 88 val total_prefetch = Output(Bool()) 89 val late_hit_prefetch = Output(Bool()) 90 val late_prefetch_hit = Output(Bool()) 91 val late_load_hit = Output(Bool()) 92 val useless_prefetch = Output(Bool()) 93 val useful_prefetch = Output(Bool()) 94 val prefetch_hit = Output(Bool()) 95 } 96 97 val fdp = new Bundle { 98 val useful_prefetch = Output(Bool()) 99 val demand_miss = Output(Bool()) 100 val pollution = Output(Bool()) 101 } 102 } 103 104 val bloom_filter_query = new Bundle { 105 val query = ValidIO(new BloomQueryBundle(BLOOM_FILTER_ENTRY_NUM)) 106 val resp = Flipped(ValidIO(new BloomRespBundle())) 107 } 108 109 val counter_filter_query = new CounterFilterQueryBundle 110 val counter_filter_enq = new ValidIO(new CounterFilterDataBundle()) 111 }) 112 113 assert(RegNext(io.meta_read.ready)) 114 115 val s1_ready = Wire(Bool()) 116 val s2_ready = Wire(Bool()) 117 // LSU requests 118 // it you got nacked, you can directly passdown 119 val not_nacked_ready = io.meta_read.ready && io.tag_read.ready && s1_ready 120 val nacked_ready = true.B 121 122 // Pipeline 123 // -------------------------------------------------------------------------------- 124 // stage 0 125 // -------------------------------------------------------------------------------- 126 // read tag 127 128 // ready can wait for valid 129 io.lsu.req.ready := (!io.nack && not_nacked_ready) || (io.nack && nacked_ready) 130 io.meta_read.valid := io.lsu.req.fire && !io.nack 131 io.tag_read.valid := io.lsu.req.fire && !io.nack 132 133 val s0_valid = io.lsu.req.fire 134 val s0_req = WireInit(io.lsu.req.bits) 135 s0_req.vaddr := Mux(io.load128Req, Cat(io.lsu.req.bits.vaddr(io.lsu.req.bits.vaddr.getWidth - 1, 4), 0.U(4.W)), io.lsu.req.bits.vaddr) 136 val s0_fire = s0_valid && s1_ready 137 val s0_vaddr = s0_req.vaddr 138 val s0_replayCarry = s0_req.replayCarry 139 val s0_load128Req = io.load128Req 140 val s0_bank_oh_64 = UIntToOH(addr_to_dcache_bank(s0_vaddr)) 141 val s0_bank_oh_128 = (s0_bank_oh_64 << 1.U).asUInt | s0_bank_oh_64.asUInt 142 val s0_bank_oh = Mux(s0_load128Req, s0_bank_oh_128, s0_bank_oh_64) 143 assert(RegNext(!(s0_valid && (s0_req.cmd =/= MemoryOpConstants.M_XRD && s0_req.cmd =/= MemoryOpConstants.M_PFR && s0_req.cmd =/= MemoryOpConstants.M_PFW))), "LoadPipe only accepts load req / softprefetch read or write!") 144 dump_pipeline_reqs("LoadPipe s0", s0_valid, s0_req) 145 146 // wpu 147 // val dwpu = Module(new DCacheWpuWrapper) 148 // req in s0 149 if(dwpuParam.enWPU){ 150 io.dwpu.req(0).bits.vaddr := s0_vaddr 151 io.dwpu.req(0).bits.replayCarry := s0_replayCarry 152 io.dwpu.req(0).valid := s0_valid 153 }else{ 154 io.dwpu.req(0).valid := false.B 155 io.dwpu.req(0).bits := DontCare 156 } 157 158 159 val meta_read = io.meta_read.bits 160 val tag_read = io.tag_read.bits 161 162 // Tag read for new requests 163 meta_read.idx := get_idx(io.lsu.req.bits.vaddr) 164 meta_read.way_en := ~0.U(nWays.W) 165 // meta_read.tag := DontCare 166 167 tag_read.idx := get_idx(io.lsu.req.bits.vaddr) 168 tag_read.way_en := ~0.U(nWays.W) 169 170 // -------------------------------------------------------------------------------- 171 // stage 1 172 // -------------------------------------------------------------------------------- 173 // tag match, read data 174 175 val s1_valid = RegInit(false.B) 176 val s1_req = RegEnable(s0_req, s0_fire) 177 // in stage 1, load unit gets the physical address 178 val s1_paddr_dup_lsu = io.lsu.s1_paddr_dup_lsu 179 val s1_paddr_dup_dcache = io.lsu.s1_paddr_dup_dcache 180 val s1_load128Req = RegEnable(s0_load128Req, s0_fire) 181 val s1_is_prefetch = s1_req.instrtype === DCACHE_PREFETCH_SOURCE.U 182 // LSU may update the address from io.lsu.s1_paddr, which affects the bank read enable only. 183 val s1_vaddr_update = Cat(s1_req.vaddr(VAddrBits - 1, blockOffBits), io.lsu.s1_paddr_dup_lsu(blockOffBits - 1, 0)) 184 val s1_vaddr_update_dup = Cat(s1_req.vaddr_dup(VAddrBits - 1, blockOffBits), io.lsu.s1_paddr_dup_dcache(blockOffBits - 1, 0)) 185 val s1_vaddr = Mux(s1_load128Req, Cat(s1_vaddr_update(VAddrBits - 1, 4), 0.U(4.W)), s1_vaddr_update) 186 val s1_vaddr_dup = Mux(s1_load128Req, Cat(s1_vaddr_update_dup(VAddrBits - 1, 4), 0.U(4.W)), s1_vaddr_update_dup) 187 val s1_bank_oh = RegEnable(s0_bank_oh, s0_fire) 188 val s1_nack = RegNext(io.nack) 189 val s1_fire = s1_valid && s2_ready 190 s1_ready := !s1_valid || s1_fire 191 192 when (s0_fire) { s1_valid := true.B } 193 .elsewhen (s1_fire) { s1_valid := false.B } 194 195 dump_pipeline_reqs("LoadPipe s1", s1_valid, s1_req) 196 197 // tag check 198 def wayMap[T <: Data](f: Int => T) = VecInit((0 until nWays).map(f)) 199 val meta_resp = io.meta_resp 200 // pseudo enc ecc tag 201 val pseudo_tag_toggle_mask = Mux( 202 io.pseudo_error.valid && io.pseudo_error.bits(0).valid, 203 io.pseudo_error.bits(0).mask(tagBits - 1, 0), 204 0.U(tagBits.W) 205 ) 206 val s1_enctag_resp = Wire(io.tag_resp.cloneType) 207 s1_enctag_resp.zip(io.tag_resp).map { 208 case (pseudo_enc, real_enc) => 209 if (cacheCtrlParamsOpt.nonEmpty && EnableTagEcc) { 210 val ecc = real_enc(encTagBits - 1, tagBits) 211 val toggleTag = real_enc(tagBits - 1, 0) ^ pseudo_tag_toggle_mask 212 pseudo_enc := Cat(ecc, toggleTag) 213 } else { 214 pseudo_enc := real_enc 215 } 216 } 217 218 // resp in s1 219 val s1_tag_resp = s1_enctag_resp.map(encTag => encTag(tagBits - 1, 0)) 220 val s1_tag_errors = wayMap((w: Int) => meta_resp(w).coh.isValid() && dcacheParameters.tagCode.decode(s1_enctag_resp(w)).error).asUInt 221 val s1_tag_match_way_dup_dc = wayMap((w: Int) => s1_tag_resp(w) === get_tag(s1_paddr_dup_dcache) && meta_resp(w).coh.isValid()).asUInt 222 val s1_tag_match_way_dup_lsu = wayMap((w: Int) => s1_tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt 223 val s1_wpu_pred_valid = RegEnable(io.dwpu.resp(0).valid, s0_fire) 224 val s1_wpu_pred_way_en = RegEnable(io.dwpu.resp(0).bits.s0_pred_way_en, s0_fire) 225 226 // lookup update 227 io.dwpu.lookup_upd(0).valid := s1_valid 228 io.dwpu.lookup_upd(0).bits.vaddr := s1_vaddr 229 io.dwpu.lookup_upd(0).bits.s1_real_way_en := s1_tag_match_way_dup_dc 230 io.dwpu.lookup_upd(0).bits.s1_pred_way_en := s1_wpu_pred_way_en 231 // replace / tag write 232 io.vtag_update.ready := true.B 233 // dwpu.io.tagwrite_upd.valid := io.vtag_update.valid 234 // dwpu.io.tagwrite_upd.bits.vaddr := io.vtag_update.bits.vaddr 235 // dwpu.io.tagwrite_upd.bits.s1_real_way_en := io.vtag_update.bits.way_en 236 237 val s1_direct_map_way_num = get_direct_map_way(s1_req.vaddr) 238 if(dwpuParam.enCfPred || !env.FPGAPlatform){ 239 /* method1: record the pc */ 240 // if (!env.FPGAPlatform){ 241 // io.dwpu.cfpred(0).s0_vaddr := io.lsu.s0_pc 242 // io.dwpu.cfpred(0).s1_vaddr := io.lsu.s1_pc 243 // } 244 245 /* method2: record the vaddr */ 246 io.dwpu.cfpred(0).s0_vaddr := s0_vaddr 247 io.dwpu.cfpred(0).s1_vaddr := s1_vaddr 248 // whether direct_map_way miss with valid tag value 249 io.dwpu.cfpred(0).s1_dm_hit := wayMap((w: Int) => w.U === s1_direct_map_way_num && s1_tag_resp(w) === get_tag(s1_paddr_dup_lsu) && meta_resp(w).coh.isValid()).asUInt.orR 250 }else{ 251 io.dwpu.cfpred(0) := DontCare 252 } 253 254 val s1_pred_tag_match_way_dup_dc = Wire(UInt(nWays.W)) 255 val s1_wpu_pred_fail = Wire(Bool()) 256 val s1_wpu_pred_fail_and_real_hit = Wire(Bool()) 257 if (dwpuParam.enWPU) { 258 when(s1_wpu_pred_valid) { 259 s1_pred_tag_match_way_dup_dc := s1_wpu_pred_way_en 260 }.otherwise { 261 s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc 262 } 263 s1_wpu_pred_fail := s1_valid && s1_tag_match_way_dup_dc =/= s1_pred_tag_match_way_dup_dc 264 s1_wpu_pred_fail_and_real_hit := s1_wpu_pred_fail && s1_tag_match_way_dup_dc.orR 265 } else { 266 s1_pred_tag_match_way_dup_dc := s1_tag_match_way_dup_dc 267 s1_wpu_pred_fail := false.B 268 s1_wpu_pred_fail_and_real_hit := false.B 269 } 270 271 val s1_tag_match_dup_dc = ParallelORR(s1_tag_match_way_dup_dc) 272 val s1_tag_match_dup_lsu = ParallelORR(s1_tag_match_way_dup_lsu) 273 assert(RegNext(!s1_valid || PopCount(s1_tag_match_way_dup_dc) <= 1.U), "tag should not match with more than 1 way") 274 io.pseudo_tag_error_inj_done := s1_fire && wayMap((w: Int) => meta_resp(w).coh.isValid()).asUInt.orR 275 276 // when there are no tag match, we give it a Fake Meta 277 // this simplifies our logic in s2 stage 278 val s1_hit_meta = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => meta_resp(w))) 279 val s1_hit_coh = s1_hit_meta.coh 280 val s1_hit_error = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).error)) 281 val s1_hit_prefetch = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).prefetch)) 282 val s1_hit_access = ParallelMux(s1_tag_match_way_dup_dc.asBools, (0 until nWays).map(w => io.extra_meta_resp(w).access)) 283 284 // io.replace_way.set.valid := RegNext(s0_fire) 285 io.replace_way.set.valid := false.B 286 io.replace_way.set.bits := get_idx(s1_vaddr) 287 io.replace_way.dmWay := get_direct_map_way(s1_vaddr) 288 val s1_invalid_vec = wayMap(w => !meta_resp(w).coh.isValid()) 289 val s1_have_invalid_way = s1_invalid_vec.asUInt.orR 290 val s1_invalid_way_en = ParallelPriorityMux(s1_invalid_vec.zipWithIndex.map(x => x._1 -> UIntToOH(x._2.U(nWays.W)))) 291 292 val s1_need_replacement = !s1_tag_match_dup_dc 293 294 XSPerfAccumulate("load_using_replacement", io.replace_way.set.valid && s1_need_replacement) 295 296 // query bloom filter 297 io.bloom_filter_query.query.valid := s1_valid 298 io.bloom_filter_query.query.bits.addr := io.bloom_filter_query.query.bits.get_addr(s1_paddr_dup_dcache) 299 300 // get s1_will_send_miss_req in lpad_s1 301 val s1_has_permission = s1_hit_coh.onAccess(s1_req.cmd)._1 302 val s1_new_hit_coh = s1_hit_coh.onAccess(s1_req.cmd)._3 303 val s1_hit = s1_tag_match_dup_dc && s1_has_permission && s1_hit_coh === s1_new_hit_coh 304 val s1_will_send_miss_req = s1_valid && !s1_nack && !s1_hit 305 306 // data read 307 io.banked_data_read.valid := s1_fire && !s1_nack && !s1_is_prefetch 308 io.banked_data_read.bits.addr := s1_vaddr 309 io.banked_data_read.bits.addr_dup := s1_vaddr_dup 310 io.banked_data_read.bits.kill := io.lsu.s1_kill_data_read 311 io.banked_data_read.bits.way_en := s1_pred_tag_match_way_dup_dc 312 io.banked_data_read.bits.bankMask := s1_bank_oh 313 io.banked_data_read.bits.lqIdx := s1_req.lqIdx 314 io.is128Req := s1_load128Req 315 316 // check ecc error 317 val s1_flag_error = Mux(s1_need_replacement, false.B, s1_hit_error) // error reported by exist dcache error bit 318 319 // -------------------------------------------------------------------------------- 320 // stage 2 321 // -------------------------------------------------------------------------------- 322 // return data 323 324 // val s2_valid = RegEnable(next = s1_valid && !io.lsu.s1_kill, init = false.B, enable = s1_fire) 325 val s2_valid = RegInit(false.B) 326 val s2_valid_dup = RegInit(false.B) 327 val s2_req = RegEnable(s1_req, s1_fire) 328 val s2_load128Req = RegEnable(s1_load128Req, s1_fire) 329 val s2_paddr = RegEnable(s1_paddr_dup_dcache, s1_fire) 330 val s2_vaddr = RegEnable(s1_vaddr, s1_fire) 331 val s2_bank_oh = RegEnable(s1_bank_oh, s1_fire) 332 val s2_bank_oh_dup_0 = RegEnable(s1_bank_oh, s1_fire) 333 val s2_wpu_pred_fail = RegEnable(s1_wpu_pred_fail, s1_fire) 334 val s2_real_way_en = RegEnable(s1_tag_match_way_dup_dc, s1_fire) 335 val s2_pred_way_en = RegEnable(s1_pred_tag_match_way_dup_dc, s1_fire) 336 val s2_dm_way_num = RegEnable(s1_direct_map_way_num, s1_fire) 337 val s2_wpu_pred_fail_and_real_hit = RegEnable(s1_wpu_pred_fail_and_real_hit, s1_fire) 338 339 s2_ready := true.B 340 341 val s2_fire = s2_valid 342 343 when (s1_fire) { 344 s2_valid := !io.lsu.s1_kill 345 s2_valid_dup := !io.lsu.s1_kill 346 } 347 .elsewhen(io.lsu.resp.fire) { 348 s2_valid := false.B 349 s2_valid_dup := false.B 350 } 351 352 dump_pipeline_reqs("LoadPipe s2", s2_valid, s2_req) 353 354 355 // hit, miss, nack, permission checking 356 // dcache side tag match 357 val s2_tag_errors = RegEnable(s1_tag_errors, s1_fire) 358 val s2_tag_match_way = RegEnable(s1_tag_match_way_dup_dc, s1_fire) 359 val s2_tag_match = RegEnable(s1_tag_match_dup_dc, s1_fire) 360 361 val s2_can_send_miss_req = RegEnable(s1_will_send_miss_req, s1_fire) 362 val s2_can_send_miss_req_dup = RegEnable(s1_will_send_miss_req, s1_fire) 363 364 val s2_miss_req_valid = s2_valid && s2_can_send_miss_req 365 val s2_miss_req_valid_dup = s2_valid_dup && s2_can_send_miss_req_dup 366 val s2_miss_req_fire = s2_miss_req_valid_dup && io.miss_req.ready 367 368 // lsu side tag match 369 val s2_hit_dup_lsu = RegNext(s1_tag_match_dup_lsu) 370 371 io.lsu.s2_hit := s2_hit_dup_lsu && !s2_wpu_pred_fail 372 373 val s2_hit_meta = RegEnable(s1_hit_meta, s1_fire) 374 val s2_hit_coh = RegEnable(s1_hit_coh, s1_fire) 375 val s2_has_permission = s2_hit_coh.onAccess(s2_req.cmd)._1 // for write prefetch 376 val s2_new_hit_coh = s2_hit_coh.onAccess(s2_req.cmd)._3 // for write prefetch 377 378 // when req got nacked, upper levels should replay this request 379 // nacked or not 380 val s2_nack_hit = RegEnable(s1_nack, s1_fire) 381 // can no allocate mshr for load miss 382 val s2_nack_no_mshr = s2_miss_req_valid_dup && !io.miss_req.ready 383 // block with a wbq valid req 384 val s2_nack_wbq_conflict = s2_miss_req_valid_dup && io.wbq_block_miss_req 385 // Bank conflict on data arrays 386 val s2_nack_data = RegEnable(!io.banked_data_read.ready, s1_fire) 387 val s2_nack = s2_nack_hit || s2_nack_no_mshr || s2_nack_data || s2_nack_wbq_conflict 388 // s2 miss merged 389 val s2_miss_merged = s2_miss_req_fire && !io.miss_req.bits.cancel && !io.wbq_block_miss_req && io.miss_resp.merged 390 391 val s2_bank_addr = addr_to_dcache_bank(s2_paddr) 392 dontTouch(s2_bank_addr) 393 394 val s2_instrtype = s2_req.instrtype 395 396 val s2_tag_error = WireInit(false.B) 397 val s2_flag_error = RegEnable(s1_flag_error, s1_fire) 398 399 val s2_hit_prefetch = RegEnable(s1_hit_prefetch, s1_fire) 400 val s2_hit_access = RegEnable(s1_hit_access, s1_fire) 401 402 val s2_hit = s2_tag_match && s2_has_permission && s2_hit_coh === s2_new_hit_coh && !s2_wpu_pred_fail 403 404 val s2_data128bit = Cat(io.banked_data_resp(1).raw_data, io.banked_data_resp(0).raw_data) 405 val s2_resp_data = s2_data128bit 406 407 // only dump these signals when they are actually valid 408 dump_pipeline_valids("LoadPipe s2", "s2_hit", s2_valid && s2_hit) 409 dump_pipeline_valids("LoadPipe s2", "s2_nack", s2_valid && s2_nack) 410 dump_pipeline_valids("LoadPipe s2", "s2_nack_hit", s2_valid && s2_nack_hit) 411 dump_pipeline_valids("LoadPipe s2", "s2_nack_no_mshr", s2_valid && s2_nack_no_mshr) 412 413 if(EnableTagEcc) { 414 s2_tag_error := s2_tag_errors.orR // error reported by tag ecc check 415 } 416 io.pseudo_data_error_inj_done := s2_fire && s2_hit && !io.bank_conflict_slow 417 io.pseudo_error.ready := false.B 418 419 // send load miss to miss queue 420 io.miss_req.valid := s2_miss_req_valid 421 io.miss_req.bits := DontCare 422 io.miss_req.bits.source := s2_instrtype 423 io.miss_req.bits.pf_source := RegNext(RegNext(io.lsu.pf_source)) // TODO: clock gate 424 io.miss_req.bits.cmd := s2_req.cmd 425 io.miss_req.bits.addr := get_block_addr(s2_paddr) 426 io.miss_req.bits.vaddr := s2_vaddr 427 io.miss_req.bits.req_coh := s2_hit_coh 428 io.miss_req.bits.cancel := io.lsu.s2_kill || s2_tag_error 429 io.miss_req.bits.pc := io.lsu.s2_pc 430 io.miss_req.bits.lqIdx := io.lsu.req.bits.lqIdx 431 432 //send load miss to wbq 433 io.wbq_conflict_check.valid := s2_miss_req_valid_dup 434 io.wbq_conflict_check.bits := get_block_addr(s2_paddr) 435 436 // send back response 437 val resp = Wire(ValidIO(new DCacheWordResp)) 438 resp.valid := s2_valid 439 resp.bits := DontCare 440 // resp.bits.data := s2_word_decoded 441 // resp.bits.data := banked_data_resp_word.raw_data 442 // * on miss or nack, upper level should replay request 443 // but if we successfully sent the request to miss queue 444 // upper level does not need to replay request 445 // they can sit in load queue and wait for refill 446 // 447 // * report a miss if bank conflict is detected 448 val real_miss = !s2_real_way_en.orR 449 450 resp.bits.real_miss := real_miss 451 resp.bits.miss := real_miss 452 resp.bits.data := s2_resp_data 453 io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit 454 // load pipe need replay when there is a bank conflict or wpu predict fail 455 resp.bits.replay := (resp.bits.miss && (s2_nack || io.miss_req.bits.cancel)) || io.bank_conflict_slow || s2_wpu_pred_fail 456 resp.bits.replayCarry.valid := (resp.bits.miss && (s2_nack || io.miss_req.bits.cancel)) || io.bank_conflict_slow || s2_wpu_pred_fail 457 resp.bits.replayCarry.real_way_en := s2_real_way_en 458 resp.bits.meta_prefetch := s2_hit_prefetch 459 resp.bits.meta_access := s2_hit_access 460 resp.bits.tag_error := false.B 461 resp.bits.mshr_id := io.miss_resp.id 462 resp.bits.handled := s2_miss_req_fire && !io.miss_req.bits.cancel && !io.wbq_block_miss_req && io.miss_resp.handled 463 resp.bits.debug_robIdx := s2_req.debug_robIdx 464 // debug info 465 io.lsu.s2_first_hit := s2_req.isFirstIssue && s2_hit 466 io.lsu.debug_s2_real_way_num := OneHot.OHToUIntStartOne(s2_real_way_en) 467 if(dwpuParam.enWPU) { 468 io.lsu.debug_s2_pred_way_num := OneHot.OHToUIntStartOne(s2_pred_way_en) 469 }else{ 470 io.lsu.debug_s2_pred_way_num := 0.U 471 } 472 if(dwpuParam.enWPU && dwpuParam.enCfPred || !env.FPGAPlatform){ 473 io.lsu.debug_s2_dm_way_num := s2_dm_way_num + 1.U 474 }else{ 475 io.lsu.debug_s2_dm_way_num := 0.U 476 } 477 478 479 XSPerfAccumulate("dcache_read_bank_conflict", io.bank_conflict_slow && s2_valid) 480 XSPerfAccumulate("dcache_read_from_prefetched_line", s2_valid && isPrefetchRelated(s2_hit_prefetch) && !resp.bits.miss) 481 XSPerfAccumulate("dcache_first_read_from_prefetched_line", s2_valid && isPrefetchRelated(s2_hit_prefetch) && !resp.bits.miss && !s2_hit_access) 482 483 // if ldu0 and ldu1 hit the same, count for 1 484 val total_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 485 val late_hit_prefetch = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 486 val late_load_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && !isFromL1Prefetch(s2_hit_prefetch) 487 val late_prefetch_hit = s2_valid && s2_hit && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && isFromL1Prefetch(s2_hit_prefetch) 488 val useless_prefetch = s2_miss_req_fire && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) 489 val useful_prefetch = s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && resp.bits.handled && !io.miss_resp.merged 490 491 val prefetch_hit = s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && s2_hit && isFromL1Prefetch(s2_hit_prefetch) && s2_req.isFirstIssue 492 493 io.prefetch_info.naive.total_prefetch := total_prefetch 494 io.prefetch_info.naive.late_hit_prefetch := late_hit_prefetch 495 io.prefetch_info.naive.late_load_hit := late_load_hit 496 io.prefetch_info.naive.late_prefetch_hit := late_prefetch_hit 497 io.prefetch_info.naive.useless_prefetch := useless_prefetch 498 io.prefetch_info.naive.useful_prefetch := useful_prefetch 499 io.prefetch_info.naive.prefetch_hit := prefetch_hit 500 501 io.prefetch_info.fdp.demand_miss := s2_valid && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && !s2_hit && s2_req.isFirstIssue 502 io.prefetch_info.fdp.pollution := io.prefetch_info.fdp.demand_miss && io.bloom_filter_query.resp.valid && io.bloom_filter_query.resp.bits.res 503 504 io.lsu.resp.valid := resp.valid 505 io.lsu.resp.bits := resp.bits 506 assert(RegNext(!(resp.valid && !io.lsu.resp.ready)), "lsu should be ready in s2") 507 508 resp.bits.dump(resp.valid) 509 510 io.lsu.debug_s1_hit_way := s1_tag_match_way_dup_dc 511 io.lsu.s1_disable_fast_wakeup := io.disable_ld_fast_wakeup 512 io.lsu.s2_bank_conflict := io.bank_conflict_slow 513 io.lsu.s2_wpu_pred_fail := s2_wpu_pred_fail_and_real_hit 514 io.lsu.s2_mq_nack := (resp.bits.miss && (s2_nack_no_mshr || io.miss_req.bits.cancel || io.wbq_block_miss_req)) 515 assert(RegNext(s1_ready && s2_ready), "load pipeline should never be blocked") 516 517 // -------------------------------------------------------------------------------- 518 // stage 3 519 // -------------------------------------------------------------------------------- 520 // report ecc error and get selected dcache data 521 522 val s3_valid = RegNext(s2_valid) 523 val s3_load128Req = RegEnable(s2_load128Req, s2_fire) 524 val s3_vaddr = RegEnable(s2_vaddr, s2_fire) 525 val s3_paddr = RegEnable(s2_paddr, s2_fire) 526 val s3_hit = RegEnable(s2_hit, s2_fire) 527 val s3_tag_match_way = RegEnable(s2_tag_match_way, s2_fire) 528 val s3_req_instrtype = RegEnable(s2_req.instrtype, s2_fire) 529 val s3_is_prefetch = s3_req_instrtype === DCACHE_PREFETCH_SOURCE.U 530 531 val s3_banked_data_resp_word = RegEnable(s2_resp_data, s2_fire) 532 val s3_data_error = Mux(s3_load128Req, io.read_error_delayed.asUInt.orR, io.read_error_delayed(0)) && s3_hit 533 val s3_tag_error = RegEnable(s2_tag_error, s2_fire) 534 val s3_flag_error = RegEnable(s2_flag_error, s2_fire) 535 val s3_hit_prefetch = RegEnable(s2_hit_prefetch, s2_fire) 536 val s3_error = s3_tag_error || s3_flag_error || s3_data_error 537 538 // error_delayed signal will be used to update uop.exception 1 cycle after load writeback 539 resp.bits.error_delayed := s3_error && (s3_hit || s3_tag_error) && s3_valid 540 resp.bits.data_delayed := s3_banked_data_resp_word 541 resp.bits.replacementUpdated := io.replace_access.valid 542 543 // report tag / data / l2 error (with paddr) to bus error unit 544 io.error := 0.U.asTypeOf(ValidIO(new L1CacheErrorInfo)) 545 io.error.bits.report_to_beu := (s3_tag_error || s3_data_error) && s3_valid 546 io.error.bits.paddr := s3_paddr 547 io.error.bits.source.tag := s3_tag_error 548 io.error.bits.source.data := s3_data_error 549 io.error.bits.source.l2 := s3_flag_error 550 io.error.bits.opType.load := true.B 551 // report tag error / l2 corrupted to CACHE_ERROR csr 552 io.error.valid := s3_error && s3_valid 553 554 io.replace_access.valid := s3_valid && s3_hit 555 io.replace_access.bits.set := RegNext(RegNext(get_idx(s1_req.vaddr))) 556 io.replace_access.bits.way := RegNext(RegNext(OHToUInt(s1_tag_match_way_dup_dc))) 557 558 // update access bit 559 io.access_flag_write.valid := s3_valid && s3_hit && !s3_is_prefetch 560 io.access_flag_write.bits.idx := get_idx(s3_vaddr) 561 io.access_flag_write.bits.way_en := s3_tag_match_way 562 io.access_flag_write.bits.flag := true.B 563 564 // clear prefetch source when prefetch hit 565 val s3_clear_pf_flag_en = s3_valid && s3_hit && !s3_is_prefetch && isFromL1Prefetch(s3_hit_prefetch) 566 io.prefetch_flag_write.valid := s3_clear_pf_flag_en && !io.counter_filter_query.resp 567 io.prefetch_flag_write.bits.idx := get_idx(s3_vaddr) 568 io.prefetch_flag_write.bits.way_en := s3_tag_match_way 569 io.prefetch_flag_write.bits.source := L1_HW_PREFETCH_CLEAR 570 571 io.counter_filter_query.req.valid := s3_clear_pf_flag_en 572 io.counter_filter_query.req.bits.idx := get_idx(s3_vaddr) 573 io.counter_filter_query.req.bits.way := OHToUInt(s3_tag_match_way) 574 575 io.counter_filter_enq.valid := io.prefetch_flag_write.valid 576 io.counter_filter_enq.bits.idx := get_idx(s3_vaddr) 577 io.counter_filter_enq.bits.way := OHToUInt(s3_tag_match_way) 578 579 io.prefetch_info.fdp.useful_prefetch := s3_clear_pf_flag_en && !io.counter_filter_query.resp 580 581 XSPerfAccumulate("s3_pf_hit", s3_clear_pf_flag_en) 582 XSPerfAccumulate("s3_pf_hit_filter", s3_clear_pf_flag_en && !io.counter_filter_query.resp) 583 584 // -------------------------------------------------------------------------------- 585 // Debug logging functions 586 def dump_pipeline_reqs(pipeline_stage_name: String, valid: Bool, 587 req: DCacheWordReq ) = { 588 XSDebug(valid, s"$pipeline_stage_name: ") 589 req.dump(valid) 590 } 591 592 def dump_pipeline_valids(pipeline_stage_name: String, signal_name: String, valid: Bool) = { 593 XSDebug(valid, s"$pipeline_stage_name $signal_name\n") 594 } 595 596 val load_trace = Wire(new LoadPfDbBundle) 597 val pf_trace = Wire(new LoadPfDbBundle) 598 val miss_trace = Wire(new LoadPfDbBundle) 599 val mshr_trace = Wire(new LoadPfDbBundle) 600 601 load_trace.paddr := get_block_addr(s2_paddr) 602 pf_trace.paddr := get_block_addr(s2_paddr) 603 miss_trace.paddr := get_block_addr(s2_paddr) 604 mshr_trace.paddr := get_block_addr(s2_paddr) 605 606 val table_load = ChiselDB.createTable("LoadTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 607 val site_load = "LoadPipe_load" + id.toString 608 table_load.log(load_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U), site_load, clock, reset) 609 610 val table_pf = ChiselDB.createTable("LoadPfTrace" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 611 val site_pf = "LoadPipe_pf" + id.toString 612 table_pf.log(pf_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U), site_pf, clock, reset) 613 614 val table_miss = ChiselDB.createTable("LoadTraceMiss" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 615 val site_load_miss = "LoadPipe_load_miss" + id.toString 616 table_miss.log(miss_trace, s2_valid && s2_req.isFirstIssue && (s2_req.instrtype =/= DCACHE_PREFETCH_SOURCE.U) && real_miss, site_load_miss, clock, reset) 617 618 val table_mshr = ChiselDB.createTable("LoadPfMshr" + id.toString + "_hart"+ p(XSCoreParamsKey).HartId.toString, new LoadPfDbBundle, basicDB = false) 619 val site_mshr = "LoadPipe_mshr" + id.toString 620 table_mshr.log(mshr_trace, s2_valid && (s2_req.instrtype === DCACHE_PREFETCH_SOURCE.U) && io.miss_req.fire, site_mshr, clock, reset) 621 622 // performance counters 623 XSPerfAccumulate("load_req", io.lsu.req.fire) 624 XSPerfAccumulate("load_s1_kill", s1_fire && io.lsu.s1_kill) 625 XSPerfAccumulate("load_hit_way", s1_fire && s1_tag_match_dup_dc) 626 XSPerfAccumulate("load_replay", io.lsu.resp.fire && resp.bits.replay) 627 XSPerfAccumulate("load_replay_for_dcache_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data) 628 XSPerfAccumulate("load_replay_for_dcache_no_mshr", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr) 629 XSPerfAccumulate("load_replay_for_dcache_conflict", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow) 630 XSPerfAccumulate("load_replay_for_dcache_wpu_pred_fail", io.lsu.resp.fire && resp.bits.replay && s2_wpu_pred_fail) 631 XSPerfAccumulate("load_hit", io.lsu.resp.fire && !real_miss) 632 XSPerfAccumulate("load_miss", io.lsu.resp.fire && real_miss) 633 XSPerfAccumulate("load_succeed", io.lsu.resp.fire && !resp.bits.miss && !resp.bits.replay) 634 XSPerfAccumulate("load_miss_or_conflict", io.lsu.resp.fire && resp.bits.miss) 635 XSPerfAccumulate("actual_ld_fast_wakeup", s1_fire && s1_tag_match_dup_dc && !io.disable_ld_fast_wakeup) 636 XSPerfAccumulate("ideal_ld_fast_wakeup", io.banked_data_read.fire && s1_tag_match_dup_dc) 637 638 val perfEvents = Seq( 639 ("load_req ", io.lsu.req.fire ), 640 ("load_replay ", io.lsu.resp.fire && resp.bits.replay ), 641 ("load_replay_for_data_nack", io.lsu.resp.fire && resp.bits.replay && s2_nack_data ), 642 ("load_replay_for_no_mshr ", io.lsu.resp.fire && resp.bits.replay && s2_nack_no_mshr ), 643 ("load_replay_for_conflict ", io.lsu.resp.fire && resp.bits.replay && io.bank_conflict_slow ), 644 ) 645 generatePerfEvent() 646} 647