xref: /XiangShan/src/main/scala/xiangshan/cache/mmu/MMUConst.scala (revision 6aa6d7376960a63e178781c97bbd135d4023648a)
16d5ddbceSLemover/***************************************************************************************
2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
4f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
56d5ddbceSLemover*
66d5ddbceSLemover* XiangShan is licensed under Mulan PSL v2.
76d5ddbceSLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
86d5ddbceSLemover* You may obtain a copy of Mulan PSL v2 at:
96d5ddbceSLemover*          http://license.coscl.org.cn/MulanPSL2
106d5ddbceSLemover*
116d5ddbceSLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
126d5ddbceSLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
136d5ddbceSLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
146d5ddbceSLemover*
156d5ddbceSLemover* See the Mulan PSL v2 for more details.
166d5ddbceSLemover***************************************************************************************/
176d5ddbceSLemover
186d5ddbceSLemoverpackage xiangshan.cache.mmu
196d5ddbceSLemover
208891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
216d5ddbceSLemoverimport chisel3._
226d5ddbceSLemoverimport chisel3.util._
236d5ddbceSLemoverimport xiangshan._
246d5ddbceSLemoverimport xiangshan.cache.{HasDCacheParameters, MemoryOpConstants}
256d5ddbceSLemoverimport utils._
263c02ee8fSwakafaimport utility._
276d5ddbceSLemoverimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
286d5ddbceSLemoverimport freechips.rocketchip.tilelink._
296d5ddbceSLemover
30f1fe8698SLemover
31a0301c0dSLemovercase class TLBParameters
32a0301c0dSLemover(
33a0301c0dSLemover  name: String = "none",
34a0301c0dSLemover  fetchi: Boolean = false, // TODO: remove it
35f1fe8698SLemover  fenceDelay: Int = 2,
36a0301c0dSLemover  useDmode: Boolean = true,
37f9ac118cSHaoyuan Feng  NSets: Int = 1,
38f9ac118cSHaoyuan Feng  NWays: Int = 2,
39f9ac118cSHaoyuan Feng  Replacer: Option[String] = Some("plru"),
40f9ac118cSHaoyuan Feng  Associative: String = "fa", // must be fa
41a0301c0dSLemover  outReplace: Boolean = false,
42f1fe8698SLemover  partialStaticPMP: Boolean = false, // partial static pmp result stored in entries
43f1fe8698SLemover  outsideRecvFlush: Boolean = false, // if outside moudle waiting for tlb recv flush pipe
4426af847eSgood-circle  saveLevel: Boolean = false,
4526af847eSgood-circle  lgMaxSize: Int = 3
46a0301c0dSLemover)
47a0301c0dSLemover
485854c1edSLemovercase class L2TLBParameters
495854c1edSLemover(
505854c1edSLemover  name: String = "l2tlb",
515854c1edSLemover  // l3
523ea4388cSHaoyuan Feng  l3Size: Int = 16,
533ea4388cSHaoyuan Feng  l3Associative: String = "fa",
543ea4388cSHaoyuan Feng  l3Replacer: Option[String] = Some("plru"),
553ea4388cSHaoyuan Feng  // l2
563ea4388cSHaoyuan Feng  l2Size: Int = 16,
573ea4388cSHaoyuan Feng  l2Associative: String = "fa",
583ea4388cSHaoyuan Feng  l2Replacer: Option[String] = Some("plru"),
593ea4388cSHaoyuan Feng  // l1
603ea4388cSHaoyuan Feng  l1nSets: Int = 8,
61d4265a7fSHaoyuan Feng  l1nWays: Int = 2,
62abc4432bSHaoyuan Feng  l1ReservedBits: Int = 10,
633ea4388cSHaoyuan Feng  l1Replacer: Option[String] = Some("setplru"),
643ea4388cSHaoyuan Feng  // l0
653ea4388cSHaoyuan Feng  l0nSets: Int = 32,
66d4265a7fSHaoyuan Feng  l0nWays: Int = 4,
67abc4432bSHaoyuan Feng  l0ReservedBits: Int = 3,
683ea4388cSHaoyuan Feng  l0Replacer: Option[String] = Some("setplru"),
695854c1edSLemover  // sp
705854c1edSLemover  spSize: Int = 16,
715854c1edSLemover  spReplacer: Option[String] = Some("plru"),
72f9395f72SHaoyuan Feng  // hash asid width
73f9395f72SHaoyuan Feng  hashAsidWidth: Int = 3,
74f9395f72SHaoyuan Feng  // hash vpn width
75f9395f72SHaoyuan Feng  hashVpnWidth: Int = 6,
76f1fe8698SLemover  // filter
77040c6105Sguohongyu  ifilterSize: Int = 8,
782072875bSHaoyuan Feng  dfilterSize: Int = 32,
79d74a7bd3SLemover  // miss queue, add more entries than 'must require'
80d74a7bd3SLemover  // 0 for easier bug trigger, please set as big as u can, 8 maybe
81d74a7bd3SLemover  missqueueExtendSize: Int = 0,
8292e3bfefSLemover  // llptw
8392e3bfefSLemover  llptwsize: Int = 6,
845854c1edSLemover  // way size
857196f5a2SLemover  blockBytes: Int = 64,
86bc063562SLemover  // prefetch
87bc063562SLemover  enablePrefetch: Boolean = true,
887196f5a2SLemover  // ecc
89eef81af7SHaoyuan Feng  ecc: Option[String] = Some("secded"),
90eef81af7SHaoyuan Feng  // enable ecc
91eef81af7SHaoyuan Feng  enablePTWECC: Boolean = false
925854c1edSLemover)
935854c1edSLemover
946d5ddbceSLemovertrait HasTlbConst extends HasXSParameter {
953ea4388cSHaoyuan Feng  val Level = if (EnableSv48) 3 else 2
966d5ddbceSLemover
976d5ddbceSLemover  val offLen  = 12
986d5ddbceSLemover  val ppnLen  = PAddrBits - offLen
996d5ddbceSLemover  val vpnnLen = 9
1002a4a3520Speixiaokun  val extendVpnnBits = if (HasHExtension) 2 else 0
10182978df9Speixiaokun  val vpnLen  = VAddrBits - offLen // when opening H extention, vpnlen broaden two bits
102002c10a4SYanqin Li  /*
103002c10a4SYanqin Li    Sv39 page table entry
104002c10a4SYanqin Li    +--+------+--------+----------------------+-----+--------+
105002c10a4SYanqin Li    |63|62  61|60    54|53                  10|9   8|7      0|
106002c10a4SYanqin Li    +--+------+--------+----------------------+-----+--------+
107002c10a4SYanqin Li    |N | PBMT |Reserved|        PPNs          | RSW |  FALG  |
108002c10a4SYanqin Li    +--+------+--------+----------------------+-----+--------+
109002c10a4SYanqin Li  */
110002c10a4SYanqin Li  val pteFlagLen = 8
111002c10a4SYanqin Li  val pteRswLen = 2
11297929664SXiaokun-Pei  val ptePPNLen = 44
113*6aa6d737SHaoyuan Feng  val ptePaddrLen = 56
114002c10a4SYanqin Li  val pteResLen = 7
115002c10a4SYanqin Li  val ptePbmtLen = 2
116002c10a4SYanqin Li  val pteNLen = 1
117718a93f5SHaoyuan Feng  val pteNapotBits = 4
11897929664SXiaokun-Pei  val ppnHignLen = ptePPNLen - ppnLen
1194c0e0181SXiaokun-Pei  val gvpnLen = GPAddrBits - offLen
1206d5ddbceSLemover
12163632028SHaoyuan Feng  val tlbcontiguous = 8
12263632028SHaoyuan Feng  val sectortlbwidth = log2Up(tlbcontiguous)
12363632028SHaoyuan Feng  val sectorppnLen = ppnLen - sectortlbwidth
1244c0e0181SXiaokun-Pei  val sectorgvpnLen = gvpnLen - sectortlbwidth
12563632028SHaoyuan Feng  val sectorvpnLen = vpnLen - sectortlbwidth
12697929664SXiaokun-Pei  val sectorptePPNLen = ptePPNLen - sectortlbwidth
12763632028SHaoyuan Feng
1288ef35e01SXuan Hu  val loadfiltersize = 16 // 4*3(LduCnt:2 + HyuCnt:1) + 4(prefetch:1)
129202674aeSHaojin Tang  val storefiltersize = if (StorePipelineWidth >= 3) 16 else 8
130185e6164SHaoyuan Feng  val prefetchfiltersize = 8
131185e6164SHaoyuan Feng
132a0301c0dSLemover  val sramSinglePort = true
133a0301c0dSLemover
1343019ba8fSzhanglinjuan  val timeOutThreshold = 100000
1359bd9cdfaSLemover
136cca17e78Speixiaokun  def noS2xlate = "b00".U
137cca17e78Speixiaokun  def allStage = "b11".U
138eb4bf3f2Speixiaokun  def onlyStage1 = "b01".U
139eb4bf3f2Speixiaokun  def onlyStage2 = "b10".U
140d0de7e4aSpeixiaokun
1413ea4388cSHaoyuan Feng  def Sv39 = "h8".U
1423ea4388cSHaoyuan Feng  def Sv48 = "h9".U
1433ea4388cSHaoyuan Feng
14408ae0d20SXiaokun-Pei  def Sv39x4 = "h8".U
14508ae0d20SXiaokun-Pei  def Sv48x4 = "h9".U
14608ae0d20SXiaokun-Pei
147189833a1SHaoyuan Feng  def PMLEN7  = "b10".U
148189833a1SHaoyuan Feng  def PMLEN16 = "b11".U
149189833a1SHaoyuan Feng  def MaxMaskedWidth = 16
150189833a1SHaoyuan Feng
151f1fe8698SLemover  def get_pn(addr: UInt) = {
152f1fe8698SLemover    require(addr.getWidth > offLen)
153f1fe8698SLemover    addr(addr.getWidth-1, offLen)
154f1fe8698SLemover  }
155f1fe8698SLemover  def get_off(addr: UInt) = {
156f1fe8698SLemover    require(addr.getWidth > offLen)
157f1fe8698SLemover    addr(offLen-1, 0)
158f1fe8698SLemover  }
159f1fe8698SLemover
1603889e11eSLemover  def get_set_idx(vpn: UInt, nSets: Int): UInt = {
161e9092fe2SLemover    require(nSets >= 1)
162a0301c0dSLemover    vpn(log2Up(nSets)-1, 0)
1636d5ddbceSLemover  }
1646d5ddbceSLemover
165e9092fe2SLemover  def drop_set_idx(vpn: UInt, nSets: Int): UInt = {
166e9092fe2SLemover    require(nSets >= 1)
167e9092fe2SLemover    require(vpn.getWidth > log2Ceil(nSets))
168e9092fe2SLemover    vpn(vpn.getWidth-1, log2Ceil(nSets))
169e9092fe2SLemover  }
170e9092fe2SLemover
171e9092fe2SLemover  def drop_set_equal(vpn1: UInt, vpn2: UInt, nSets: Int): Bool = {
172e9092fe2SLemover    require(nSets >= 1)
173e9092fe2SLemover    require(vpn1.getWidth == vpn2.getWidth)
174e9092fe2SLemover    if (vpn1.getWidth <= log2Ceil(nSets)) {
175e9092fe2SLemover      true.B
176e9092fe2SLemover    } else {
177e9092fe2SLemover      drop_set_idx(vpn1, nSets) === drop_set_idx(vpn2, nSets)
178e9092fe2SLemover    }
179e9092fe2SLemover  }
180e9092fe2SLemover
1816d5ddbceSLemover  def replaceWrapper(v: UInt, lruIdx: UInt): UInt = {
1826d5ddbceSLemover    val width = v.getWidth
183f3034303SHaoyuan Feng    val emptyIdx = ParallelPriorityMux((0 until width).map( i => (!v(i), i.U(log2Up(width).W))))
1846d5ddbceSLemover    val full = Cat(v).andR
1856d5ddbceSLemover    Mux(full, lruIdx, emptyIdx)
1866d5ddbceSLemover  }
1876d5ddbceSLemover
1886d5ddbceSLemover  def replaceWrapper(v: Seq[Bool], lruIdx: UInt): UInt = {
1896d5ddbceSLemover    replaceWrapper(VecInit(v).asUInt, lruIdx)
1906d5ddbceSLemover  }
191a0301c0dSLemover
192e3da8badSTang Haojin  import scala.language.implicitConversions
193e3da8badSTang Haojin
194cca17e78Speixiaokun  implicit def hptwresp_to_tlbperm(hptwResp: HptwResp): TlbPermBundle = {
195d0de7e4aSpeixiaokun    val tp = Wire(new TlbPermBundle)
196d0de7e4aSpeixiaokun    val ptePerm = hptwResp.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
197d61cd5eeSpeixiaokun    tp.pf := hptwResp.gpf
198d61cd5eeSpeixiaokun    tp.af := hptwResp.gaf
1997acf8b76SXiaokun-Pei    tp.v := DontCare
200d0de7e4aSpeixiaokun    tp.d := ptePerm.d
201d0de7e4aSpeixiaokun    tp.a := ptePerm.a
202d0de7e4aSpeixiaokun    tp.g := ptePerm.g
203d0de7e4aSpeixiaokun    tp.u := ptePerm.u
204d0de7e4aSpeixiaokun    tp.x := ptePerm.x
205d0de7e4aSpeixiaokun    tp.w := ptePerm.w
206d0de7e4aSpeixiaokun    tp.r := ptePerm.r
207d0de7e4aSpeixiaokun    tp
208d0de7e4aSpeixiaokun  }
209cca17e78Speixiaokun
210cca17e78Speixiaokun  implicit def ptwresp_to_tlbperm(ptwResp: PtwSectorResp): TlbPermBundle = {
211f1fe8698SLemover    val tp = Wire(new TlbPermBundle)
212f1fe8698SLemover    val ptePerm = ptwResp.entry.perm.get.asTypeOf(new PtePermBundle().cloneType)
213f1fe8698SLemover    tp.pf := ptwResp.pf
214f1fe8698SLemover    tp.af := ptwResp.af
2157acf8b76SXiaokun-Pei    tp.v := ptwResp.entry.v
216f1fe8698SLemover    tp.d := ptePerm.d
217f1fe8698SLemover    tp.a := ptePerm.a
218f1fe8698SLemover    tp.g := ptePerm.g
219f1fe8698SLemover    tp.u := ptePerm.u
220f1fe8698SLemover    tp.x := ptePerm.x
221f1fe8698SLemover    tp.w := ptePerm.w
222f1fe8698SLemover    tp.r := ptePerm.r
223f1fe8698SLemover    tp
224f1fe8698SLemover  }
2256d5ddbceSLemover}
2266d5ddbceSLemover
2276d5ddbceSLemovertrait HasPtwConst extends HasTlbConst with MemoryOpConstants{
2286d5ddbceSLemover  val PtwWidth = 2
229bc063562SLemover  val sourceWidth = { if (l2tlbParams.enablePrefetch) PtwWidth + 1 else PtwWidth}
230bc063562SLemover  val prefetchID = PtwWidth
231bc063562SLemover
2325854c1edSLemover  val blockBits = l2tlbParams.blockBytes * 8
2336d5ddbceSLemover
2346d5ddbceSLemover  val bPtwWidth = log2Up(PtwWidth)
235bc063562SLemover  val bSourceWidth = log2Up(sourceWidth)
2363ea4388cSHaoyuan Feng  // ptwl3: fully-associated
2373ea4388cSHaoyuan Feng  val PtwL3TagLen = if (EnableSv48) vpnnLen + extendVpnnBits else 0
2383ea4388cSHaoyuan Feng  // ptwl2: fully-associated
2393ea4388cSHaoyuan Feng  val PtwL2TagLen = if (EnableSv48) vpnnLen * 2 + extendVpnnBits else vpnnLen + extendVpnnBits
2406d5ddbceSLemover
2416d5ddbceSLemover  /* +-------+----------+-------------+
2426d5ddbceSLemover   * |  Tag  |  SetIdx  |  SectorIdx  |
2436d5ddbceSLemover   * +-------+----------+-------------+
2446d5ddbceSLemover   */
2453ea4388cSHaoyuan Feng  // ptwl1: 8-way group-associated
2463ea4388cSHaoyuan Feng  val PtwL1SetNum = l2tlbParams.l1nSets
2473ea4388cSHaoyuan Feng  val PtwL1SectorSize = blockBits / XLEN
2483ea4388cSHaoyuan Feng  val PtwL1IdxLen = log2Up(PtwL1SetNum * PtwL1SectorSize)
2493ea4388cSHaoyuan Feng  val PtwL1SectorIdxLen = log2Up(PtwL1SectorSize)
2503ea4388cSHaoyuan Feng  val PtwL1SetIdxLen = log2Up(PtwL1SetNum)
2513ea4388cSHaoyuan Feng  val PtwL1TagLen = if (EnableSv48) vpnnLen * 3 - PtwL1IdxLen + extendVpnnBits else vpnnLen * 2 - PtwL1IdxLen + extendVpnnBits
2526d5ddbceSLemover
2533ea4388cSHaoyuan Feng  // ptwl0: 16-way group-associated
2543ea4388cSHaoyuan Feng  val PtwL0SetNum = l2tlbParams.l0nSets
2553ea4388cSHaoyuan Feng  val PtwL0SectorSize =  blockBits / XLEN
2563ea4388cSHaoyuan Feng  val PtwL0IdxLen = log2Up(PtwL0SetNum * PtwL0SectorSize)
2573ea4388cSHaoyuan Feng  val PtwL0SectorIdxLen = log2Up(PtwL0SectorSize)
2583ea4388cSHaoyuan Feng  val PtwL0SetIdxLen = log2Up(PtwL0SetNum)
2593ea4388cSHaoyuan Feng  val PtwL0TagLen = if (EnableSv48) vpnnLen * 4 - PtwL0IdxLen + extendVpnnBits else vpnnLen * 3 - PtwL0IdxLen + extendVpnnBits
2606d5ddbceSLemover
261718a93f5SHaoyuan Feng  // super page, including 512GB, 1GB, 2MB page && Svnapot page
262718a93f5SHaoyuan Feng  val SPTagLen = if (EnableSv48) vpnnLen * 4 + extendVpnnBits else vpnnLen * 3 + extendVpnnBits
2636d5ddbceSLemover
264d74a7bd3SLemover  // miss queue
265f1fe8698SLemover  val MissQueueSize = l2tlbParams.ifilterSize + l2tlbParams.dfilterSize
2668882eb68SXin Tian  val MemReqWidth = if (HasBitmapCheck) 2 *(l2tlbParams.llptwsize + 1 + 1) else (l2tlbParams.llptwsize + 1 + 1)
267d0de7e4aSpeixiaokun  val HptwReqId = l2tlbParams.llptwsize + 1
26892e3bfefSLemover  val FsmReqID = l2tlbParams.llptwsize
26992e3bfefSLemover  val bMemID = log2Up(MemReqWidth)
2706d5ddbceSLemover
2718882eb68SXin Tian  def ptwTranVec(flushMask: UInt): Vec[Bool] = {
2728882eb68SXin Tian    val vec = Wire(Vec(tlbcontiguous, Bool()))
2738882eb68SXin Tian    for (i <- 0 until tlbcontiguous) {
2748882eb68SXin Tian      vec(i) := flushMask(i)
2758882eb68SXin Tian    }
2768882eb68SXin Tian    vec
2778882eb68SXin Tian  }
2788882eb68SXin Tian
2798882eb68SXin Tian  def dupBitmapPPN(ppn1: UInt, ppn2: UInt) : Bool = {
2808882eb68SXin Tian    ppn1(ppnLen-1, ppnLen-log2Up(XLEN)) === ppn2(ppnLen-1, ppnLen-log2Up(XLEN))
2818882eb68SXin Tian  }
2828882eb68SXin Tian
2833ea4388cSHaoyuan Feng  def genPtwL1Idx(vpn: UInt) = {
2843ea4388cSHaoyuan Feng    (vpn(vpnLen - 1, vpnnLen))(PtwL1IdxLen - 1, 0)
2856d5ddbceSLemover  }
2866d5ddbceSLemover
2873ea4388cSHaoyuan Feng  def genPtwL1SectorIdx(vpn: UInt) = {
2883ea4388cSHaoyuan Feng    genPtwL1Idx(vpn)(PtwL1SectorIdxLen - 1, 0)
2896d5ddbceSLemover  }
2906d5ddbceSLemover
2913ea4388cSHaoyuan Feng  def genPtwL1SetIdx(vpn: UInt) = {
2923ea4388cSHaoyuan Feng    genPtwL1Idx(vpn)(PtwL1SetIdxLen + PtwL1SectorIdxLen - 1, PtwL1SectorIdxLen)
2936d5ddbceSLemover  }
2946d5ddbceSLemover
2953ea4388cSHaoyuan Feng  def genPtwL0Idx(vpn: UInt) = {
2963ea4388cSHaoyuan Feng    vpn(PtwL0IdxLen - 1, 0)
2976d5ddbceSLemover  }
2986d5ddbceSLemover
2993ea4388cSHaoyuan Feng  def genPtwL0SectorIdx(vpn: UInt) = {
3003ea4388cSHaoyuan Feng    genPtwL0Idx(vpn)(PtwL0SectorIdxLen - 1, 0)
3016d5ddbceSLemover  }
3026d5ddbceSLemover
3033ea4388cSHaoyuan Feng  def dropL0SectorBits(vpn: UInt) = {
3043ea4388cSHaoyuan Feng    vpn(vpn.getWidth-1, PtwL0SectorIdxLen)
305cc5a5f22SLemover  }
306cc5a5f22SLemover
3073ea4388cSHaoyuan Feng  def genPtwL0SetIdx(vpn: UInt) = {
3083ea4388cSHaoyuan Feng    genPtwL0Idx(vpn)(PtwL0SetIdxLen + PtwL0SectorIdxLen - 1, PtwL0SectorIdxLen)
3096d5ddbceSLemover  }
3106d5ddbceSLemover
3116d5ddbceSLemover  def MakeAddr(ppn: UInt, off: UInt) = {
3126d5ddbceSLemover    require(off.getWidth == 9)
31397929664SXiaokun-Pei    Cat(ppn, off, 0.U(log2Up(XLEN/8).W))
3146d5ddbceSLemover  }
3156d5ddbceSLemover
316b24e0a78Speixiaokun  def MakeGPAddr(ppn: UInt, off: UInt) = {
317d0de7e4aSpeixiaokun    require(off.getWidth == 9 || off.getWidth == 11)
318d0de7e4aSpeixiaokun    (Cat(ppn, 0.U(offLen.W)) + Cat(off, 0.U(log2Up(XLEN / 8).W)))(GPAddrBits - 1, 0)
319d0de7e4aSpeixiaokun  }
320d0de7e4aSpeixiaokun
321b848eea5SLemover  def getVpnn(vpn: UInt, idx: Int): UInt = {
3226d5ddbceSLemover    vpn(vpnnLen*(idx+1)-1, vpnnLen*idx)
3236d5ddbceSLemover  }
3246d5ddbceSLemover
325d0de7e4aSpeixiaokun  def getVpnn(vpn: UInt, idx: UInt): UInt = {
3263ea4388cSHaoyuan Feng    MuxLookup(idx, 0.U)(Seq(
3273ea4388cSHaoyuan Feng      0.U -> vpn(vpnnLen - 1, 0),
3283ea4388cSHaoyuan Feng      1.U -> vpn(vpnnLen * 2 - 1, vpnnLen),
3293ea4388cSHaoyuan Feng      2.U -> vpn(vpnnLen * 3 - 1, vpnnLen * 2),
3303ea4388cSHaoyuan Feng      3.U -> vpn(vpnnLen * 4 - 1, vpnnLen * 3))
3313ea4388cSHaoyuan Feng    )
332d0de7e4aSpeixiaokun  }
333d0de7e4aSpeixiaokun
3343ea4388cSHaoyuan Feng  def getGVpnn(vpn: UInt, idx: UInt, mode: UInt): UInt = {
3353ea4388cSHaoyuan Feng    MuxLookup(idx, 0.U)(Seq(
3363ea4388cSHaoyuan Feng      0.U -> vpn(vpnnLen - 1, 0),
3373ea4388cSHaoyuan Feng      1.U -> vpn(vpnnLen * 2 - 1, vpnnLen),
3383ea4388cSHaoyuan Feng      2.U -> Mux(mode === Sv48, vpn(vpnnLen * 3 - 1, vpnnLen * 2), vpn(vpnnLen * 3 + 1, vpnnLen * 2)),
3393ea4388cSHaoyuan Feng      3.U -> vpn(vpnnLen * 4 + 1, vpnnLen * 3))
3403ea4388cSHaoyuan Feng    )
341d0de7e4aSpeixiaokun  }
342d0de7e4aSpeixiaokun
3436d5ddbceSLemover  def getVpnClip(vpn: UInt, level: Int) = {
3443ea4388cSHaoyuan Feng    // level 2  /* vpnn2 */
3456d5ddbceSLemover    // level 1  /* vpnn2 * vpnn1 */
3463ea4388cSHaoyuan Feng    // level 0  /* vpnn2 * vpnn1 * vpnn0*/
3473ea4388cSHaoyuan Feng    vpn(vpnLen - 1, level * vpnnLen)
3486d5ddbceSLemover  }
3496d5ddbceSLemover
350bc063562SLemover  def get_next_line(vpn: UInt) = {
3513ea4388cSHaoyuan Feng    Cat(dropL0SectorBits(vpn) + 1.U, 0.U(PtwL0SectorIdxLen.W))
352bc063562SLemover  }
353bc063562SLemover
3543ea4388cSHaoyuan Feng  def same_l1entry(vpn1: UInt, vpn2: UInt) = {
355bc063562SLemover    vpn1(vpnLen-1, vpnnLen) === vpn2(vpnLen-1, vpnnLen)
356bc063562SLemover  }
357bc063562SLemover
358bc063562SLemover  def from_pre(source: UInt) = {
359bc063562SLemover    (source === prefetchID.U)
360bc063562SLemover  }
361bc063562SLemover
3627797f035SbugGenerator  def sel_data(data: UInt, index: UInt): UInt = {
3637797f035SbugGenerator    val inner_data = data.asTypeOf(Vec(data.getWidth / XLEN, UInt(XLEN.W)))
3647797f035SbugGenerator    inner_data(index)
3657797f035SbugGenerator  }
3667797f035SbugGenerator
3677797f035SbugGenerator  // vpn1 and vpn2 is at same cacheline
3687797f035SbugGenerator  def dup(vpn1: UInt, vpn2: UInt): Bool = {
3693ea4388cSHaoyuan Feng    dropL0SectorBits(vpn1) === dropL0SectorBits(vpn2)
3707797f035SbugGenerator  }
3717797f035SbugGenerator
3727797f035SbugGenerator
3736d5ddbceSLemover  def printVec[T <: Data](x: Seq[T]): Printable = {
3746d5ddbceSLemover    (0 until x.length).map(i => p"(${i.U})${x(i)} ").reduce(_+_)
3756d5ddbceSLemover  }
3766d5ddbceSLemover}
377