xref: /XiangShan/src/main/scala/xiangshan/frontend/Frontend.scala (revision e532573087084bcf483605051028da7765553baf)
109c6f1ddSLingrui98/***************************************************************************************
209c6f1ddSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
309c6f1ddSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory
409c6f1ddSLingrui98*
509c6f1ddSLingrui98* XiangShan is licensed under Mulan PSL v2.
609c6f1ddSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2.
709c6f1ddSLingrui98* You may obtain a copy of Mulan PSL v2 at:
809c6f1ddSLingrui98*          http://license.coscl.org.cn/MulanPSL2
909c6f1ddSLingrui98*
1009c6f1ddSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
1109c6f1ddSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
1209c6f1ddSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
1309c6f1ddSLingrui98*
1409c6f1ddSLingrui98* See the Mulan PSL v2 for more details.
15c49ebec8SHaoyuan Feng*
16c49ebec8SHaoyuan Feng*
17c49ebec8SHaoyuan Feng* Acknowledgement
18c49ebec8SHaoyuan Feng*
19c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers:
20c49ebec8SHaoyuan Feng* [1] Alex Ramirez, Oliverio J. Santana, Josep L. Larriba-Pey, and Mateo Valero. "[Fetching instruction streams.]
21c49ebec8SHaoyuan Feng* (https://doi.org/10.1109/MICRO.2002.1176264)" 35th Annual IEEE/ACM International Symposium on Microarchitecture
22c49ebec8SHaoyuan Feng* (MICRO). 2002.
23c49ebec8SHaoyuan Feng* [2] Yasuo Ishii, Jaekyu Lee, Krishnendra Nathella, and Dam Sunwoo. "[Rebasing instruction prefetching: An industry
24c49ebec8SHaoyuan Feng* perspective.](https://doi.org/10.1109/LCA.2020.3035068)" IEEE Computer Architecture Letters 19.2: 147-150. 2020.
25c49ebec8SHaoyuan Feng* [3] Yasuo Ishii, Jaekyu Lee, Krishnendra Nathella, and Dam Sunwoo. "[Re-establishing fetch-directed instruction
26c49ebec8SHaoyuan Feng* prefetching: An industry perspective.](https://doi.org/10.1109/ISPASS51385.2021.00034)" 2021 IEEE International
27c49ebec8SHaoyuan Feng* Symposium on Performance Analysis of Systems and Software (ISPASS). 2021.
2809c6f1ddSLingrui98***************************************************************************************/
2909c6f1ddSLingrui98
3009c6f1ddSLingrui98package xiangshan.frontend
3109c6f1ddSLingrui98import chisel3._
3209c6f1ddSLingrui98import chisel3.util._
33cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModule
34cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModuleImp
35cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters
363c02ee8fSwakafaimport utility._
374b2c87baS梁森 Liang Senimport utility.mbist.MbistInterface
384b2c87baS梁森 Liang Senimport utility.mbist.MbistPipeline
394b2c87baS梁森 Liang Senimport utility.sram.SramBroadcastBundle
404b2c87baS梁森 Liang Senimport utility.sram.SramHelper
4109c6f1ddSLingrui98import xiangshan._
42a67fd0f5SGuanghui Chengimport xiangshan.backend.fu.NewCSR.PFEvent
43cf7d6b7aSMuziimport xiangshan.backend.fu.PMP
44cf7d6b7aSMuziimport xiangshan.backend.fu.PMPChecker
45cf7d6b7aSMuziimport xiangshan.backend.fu.PMPReqBundle
46ee175d78SJayimport xiangshan.cache.mmu._
471d8f4dcbSJayimport xiangshan.frontend.icache._
4809c6f1ddSLingrui98
4909c6f1ddSLingrui98class Frontend()(implicit p: Parameters) extends LazyModule with HasXSParameter {
5095e60e55STang Haojin  override def shouldBeInlined: Boolean = false
51233f2ad0Szhanglinjuan  val inner       = LazyModule(new FrontendInlined)
52233f2ad0Szhanglinjuan  lazy val module = new FrontendImp(this)
53233f2ad0Szhanglinjuan}
54233f2ad0Szhanglinjuan
55233f2ad0Szhanglinjuanclass FrontendImp(wrapper: Frontend)(implicit p: Parameters) extends LazyModuleImp(wrapper) {
56233f2ad0Szhanglinjuan  val io      = IO(wrapper.inner.module.io.cloneType)
57233f2ad0Szhanglinjuan  val io_perf = IO(wrapper.inner.module.io_perf.cloneType)
58233f2ad0Szhanglinjuan  io <> wrapper.inner.module.io
59233f2ad0Szhanglinjuan  io_perf <> wrapper.inner.module.io_perf
60233f2ad0Szhanglinjuan  if (p(DebugOptionsKey).ResetGen) {
6130f35717Scz4e    ResetGen(ResetGenNode(Seq(ModuleNode(wrapper.inner.module))), reset, sim = false, io.dft_reset)
62233f2ad0Szhanglinjuan  }
63233f2ad0Szhanglinjuan}
64233f2ad0Szhanglinjuan
65233f2ad0Szhanglinjuanclass FrontendInlined()(implicit p: Parameters) extends LazyModule with HasXSParameter {
66233f2ad0Szhanglinjuan  override def shouldBeInlined: Boolean = true
6709c6f1ddSLingrui98
6809c6f1ddSLingrui98  val instrUncache = LazyModule(new InstrUncache())
6909c6f1ddSLingrui98  val icache       = LazyModule(new ICache())
7009c6f1ddSLingrui98
71233f2ad0Szhanglinjuan  lazy val module = new FrontendInlinedImp(this)
7209c6f1ddSLingrui98}
7309c6f1ddSLingrui98
74233f2ad0Szhanglinjuanclass FrontendInlinedImp(outer: FrontendInlined) extends LazyModuleImp(outer)
7509c6f1ddSLingrui98    with HasXSParameter
76cf7d6b7aSMuzi    with HasPerfEvents {
7709c6f1ddSLingrui98  val io = IO(new Bundle() {
78f57f7f2aSYangyu Chen    val hartId       = Input(UInt(hartIdLen.W))
79c4b44470SGuokai Chen    val reset_vector = Input(UInt(PAddrBits.W))
8009c6f1ddSLingrui98    val fencei       = Input(Bool())
811a718038SHaoyuan Feng    val ptw          = new TlbPtwIO()
8209c6f1ddSLingrui98    val backend      = new FrontendToCtrlIO
832c9f4a9fSxu_zh    val softPrefetch = Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle)))
8409c6f1ddSLingrui98    val sfence       = Input(new SfenceBundle)
8509c6f1ddSLingrui98    val tlbCsr       = Input(new TlbCsrBundle)
8609c6f1ddSLingrui98    val csrCtrl      = Input(new CustomCSRCtrlIO)
870184a80eSYanqin Li    val error        = ValidIO(new L1CacheErrorInfo)
8809c6f1ddSLingrui98    val frontendInfo = new Bundle {
8909c6f1ddSLingrui98      val ibufFull = Output(Bool())
9009c6f1ddSLingrui98      val bpuInfo = new Bundle {
9109c6f1ddSLingrui98        val bpRight = Output(UInt(XLEN.W))
9209c6f1ddSLingrui98        val bpWrong = Output(UInt(XLEN.W))
9309c6f1ddSLingrui98      }
9409c6f1ddSLingrui98    }
95233f2ad0Szhanglinjuan    val resetInFrontend = Output(Bool())
9660ebee38STang Haojin    val debugTopDown = new Bundle {
9760ebee38STang Haojin      val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W)))
9860ebee38STang Haojin    }
9930f35717Scz4e    val dft       = Option.when(hasDFT)(Input(new SramBroadcastBundle))
10030f35717Scz4e    val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals()))
10109c6f1ddSLingrui98  })
10209c6f1ddSLingrui98
10309c6f1ddSLingrui98  // decouped-frontend modules
1041d8f4dcbSJay  val instrUncache = outer.instrUncache.module
1051d8f4dcbSJay  val icache       = outer.icache.module
10609c6f1ddSLingrui98  val bpu          = Module(new Predictor)
10709c6f1ddSLingrui98  val ifu          = Module(new NewIFU)
10844c9c1deSEaston Man  val ibuffer      = Module(new IBuffer)
10909c6f1ddSLingrui98  val ftq          = Module(new Ftq)
11009c6f1ddSLingrui98
111f1fe8698SLemover  val needFlush            = RegNext(io.backend.toFtq.redirect.valid)
112d2b20d1aSTang Haojin  val FlushControlRedirect = RegNext(io.backend.toFtq.redirect.bits.debugIsCtrl)
113d2b20d1aSTang Haojin  val FlushMemVioRedirect  = RegNext(io.backend.toFtq.redirect.bits.debugIsMemVio)
114d2b20d1aSTang Haojin  val FlushControlBTBMiss  = Wire(Bool())
115d2b20d1aSTang Haojin  val FlushTAGEMiss        = Wire(Bool())
116d2b20d1aSTang Haojin  val FlushSCMiss          = Wire(Bool())
117d2b20d1aSTang Haojin  val FlushITTAGEMiss      = Wire(Bool())
118d2b20d1aSTang Haojin  val FlushRASMiss         = Wire(Bool())
119f1fe8698SLemover
1206f688dacSYinan Xu  val tlbCsr  = DelayN(io.tlbCsr, 2)
1216f688dacSYinan Xu  val csrCtrl = DelayN(io.csrCtrl, 2)
122fa9f9690SLemover  val sfence  = RegNext(RegNext(io.sfence))
12372951335SLi Qianruo
12472951335SLi Qianruo  // trigger
1256f688dacSYinan Xu  ifu.io.frontendTrigger := csrCtrl.frontend_trigger
12672951335SLi Qianruo
12771b6c42eSxu_zh  // RVCDecoder fsIsOff
12871b6c42eSxu_zh  ifu.io.csr_fsIsOff := csrCtrl.fsIsOff
12971b6c42eSxu_zh
1306ee06c7aSSteve Gou  // bpu ctrl
1316ee06c7aSSteve Gou  bpu.io.ctrl         := csrCtrl.bp_ctrl
1325f119905STang Haojin  bpu.io.reset_vector := io.reset_vector
1336ee06c7aSSteve Gou
134b6982e83SLemover  // pmp
135b92f8445Sssszwic  val PortNumber = ICacheParameters().PortNumber
136b6982e83SLemover  val pmp        = Module(new PMP())
13734f9624dSguohongyu  val pmp_check  = VecInit(Seq.fill(coreParams.ipmpPortNum)(Module(new PMPChecker(3, sameCycle = true)).io))
1386f688dacSYinan Xu  pmp.io.distribute_csr := csrCtrl.distribute_csr
13934f9624dSguohongyu  val pmp_req_vec = Wire(Vec(coreParams.ipmpPortNum, Valid(new PMPReqBundle())))
140b92f8445Sssszwic  (0 until 2 * PortNumber).foreach(i => pmp_req_vec(i) <> icache.io.pmp(i).req)
1410c26d810Sguohongyu  pmp_req_vec.last <> ifu.io.pmp.req
142ee175d78SJay
143b6982e83SLemover  for (i <- pmp_check.indices) {
1448882eb68SXin Tian    if (HasBitmapCheck) {
1458882eb68SXin Tian      pmp_check(i).apply(tlbCsr.mbmc.CMODE.asBool, tlbCsr.priv.imode, pmp.io.pmp, pmp.io.pma, pmp_req_vec(i))
1468882eb68SXin Tian    } else {
147ee175d78SJay      pmp_check(i).apply(tlbCsr.priv.imode, pmp.io.pmp, pmp.io.pma, pmp_req_vec(i))
148b6982e83SLemover    }
1498882eb68SXin Tian  }
150b92f8445Sssszwic  (0 until 2 * PortNumber).foreach(i => icache.io.pmp(i).resp <> pmp_check(i).resp)
1510c26d810Sguohongyu  ifu.io.pmp.resp <> pmp_check.last.resp
152ee175d78SJay
153cf7d6b7aSMuzi  val itlb =
154cf7d6b7aSMuzi    Module(new TLB(coreParams.itlbPortNum, nRespDups = 1, Seq.fill(PortNumber)(false) ++ Seq(true), itlbParams))
155b92f8445Sssszwic  itlb.io.requestor.take(PortNumber) zip icache.io.itlb foreach { case (a, b) => a <> b }
1560c26d810Sguohongyu  itlb.io.requestor.last <> ifu.io.iTLBInter // mmio may need re-tlb, blocked
157254e4960SHaoyuan Feng  itlb.io.hartId := io.hartId
1581a718038SHaoyuan Feng  itlb.io.base_connect(sfence, tlbCsr)
159fad7803dSxu_zh  itlb.io.flushPipe.foreach(_ := icache.io.itlbFlushPipe)
160a4f9c77fSpeixiaokun  itlb.io.redirect := DontCare // itlb has flushpipe, don't need redirect signal
16109c6f1ddSLingrui98
1621a718038SHaoyuan Feng  val itlb_ptw = Wire(new VectorTlbPtwIO(coreParams.itlbPortNum))
1631a718038SHaoyuan Feng  itlb_ptw.connect(itlb.io.ptw)
1641a718038SHaoyuan Feng  val itlbRepeater1 = PTWFilter(itlbParams.fenceDelay, itlb_ptw, sfence, tlbCsr, l2tlbParams.ifilterSize)
165cf7d6b7aSMuzi  val itlbRepeater2 =
166cf7d6b7aSMuzi    PTWRepeaterNB(passReady = false, itlbParams.fenceDelay, itlbRepeater1.io.ptw, io.ptw, sfence, tlbCsr)
1671a718038SHaoyuan Feng
1682c9f4a9fSxu_zh  icache.io.ftqPrefetch <> ftq.io.toPrefetch
1692c9f4a9fSxu_zh  icache.io.softPrefetch <> io.softPrefetch
17009c6f1ddSLingrui98
17109c6f1ddSLingrui98  // IFU-Ftq
17209c6f1ddSLingrui98  ifu.io.ftqInter.fromFtq <> ftq.io.toIfu
173c5c5edaeSJenius  ftq.io.toIfu.req.ready := ifu.io.ftqInter.fromFtq.req.ready && icache.io.fetch.req.ready
174c5c5edaeSJenius
17509c6f1ddSLingrui98  ftq.io.fromIfu <> ifu.io.ftqInter.toFtq
17609c6f1ddSLingrui98  bpu.io.ftq_to_bpu <> ftq.io.toBpu
17709c6f1ddSLingrui98  ftq.io.fromBpu <> bpu.io.bpu_to_ftq
1781d1e6d4dSJenius
1791d1e6d4dSJenius  ftq.io.mmioCommitRead <> ifu.io.mmioCommitRead
180c5c5edaeSJenius
18171b6c42eSxu_zh  // IFU-ICache
182c5c5edaeSJenius  icache.io.fetch.req <> ftq.io.toICache.req
183c5c5edaeSJenius  ftq.io.toICache.req.ready := ifu.io.ftqInter.fromFtq.req.ready && icache.io.fetch.req.ready
184c5c5edaeSJenius
185c5c5edaeSJenius  ifu.io.icacheInter.resp <> icache.io.fetch.resp
18650780602SJenius  ifu.io.icacheInter.icacheReady       := icache.io.toIFU
187d2b20d1aSTang Haojin  ifu.io.icacheInter.topdownIcacheMiss := icache.io.fetch.topdownIcacheMiss
188d2b20d1aSTang Haojin  ifu.io.icacheInter.topdownItlbMiss   := icache.io.fetch.topdownItlbMiss
1891d8f4dcbSJay  icache.io.stop                       := ifu.io.icacheStop
190b92f8445Sssszwic  icache.io.flush                      := ftq.io.icacheFlush
19109c6f1ddSLingrui98
1921d8f4dcbSJay  ifu.io.icachePerfInfo := icache.io.perfInfo
1931d8f4dcbSJay
194881e32f5SZifei Zhang  icache.io.csr_pf_enable := RegNext(csrCtrl.pf_ctrl.l1I_pf_enable)
195ecccf78fSJay
1963dbaa960SEaston Man  icache.io.fencei := RegNext(io.fencei)
1972a6078bfSguohongyu
19809c6f1ddSLingrui98  // IFU-Ibuffer
19909c6f1ddSLingrui98  ifu.io.toIbuffer <> ibuffer.io.in
20009c6f1ddSLingrui98
20109c6f1ddSLingrui98  ftq.io.fromBackend <> io.backend.toFtq
20292c61038SXuan Hu  io.backend.fromFtq := ftq.io.toBackend
20392c61038SXuan Hu  io.backend.fromIfu := ifu.io.toBackend
20409c6f1ddSLingrui98  io.frontendInfo.bpuInfo <> ftq.io.bpuInfo
20509c6f1ddSLingrui98
2065359309bSGuokai Chen  val checkPcMem = Reg(Vec(FtqSize, new Ftq_RF_Components))
2075359309bSGuokai Chen  when(ftq.io.toBackend.pc_mem_wen) {
208f533cba7SHuSipeng    checkPcMem(ftq.io.toBackend.pc_mem_waddr) := ftq.io.toBackend.pc_mem_wdata
2095359309bSGuokai Chen  }
2105359309bSGuokai Chen
2114d53e0efSzhou tao  val checkTargetPtr = Wire(Vec(DecodeWidth, new FtqPtr))
2125359309bSGuokai Chen  val checkTarget    = Wire(Vec(DecodeWidth, UInt(VAddrBits.W)))
2135359309bSGuokai Chen
2145359309bSGuokai Chen  for (i <- 0 until DecodeWidth) {
2154d53e0efSzhou tao    checkTargetPtr(i) := ibuffer.io.out(i).bits.ftqPtr
216cf7d6b7aSMuzi    checkTarget(i) := Mux(
2174d53e0efSzhou tao      ftq.io.toBackend.newest_entry_ptr.value === checkTargetPtr(i).value,
2185359309bSGuokai Chen      ftq.io.toBackend.newest_entry_target,
2194d53e0efSzhou tao      checkPcMem((checkTargetPtr(i) + 1.U).value).startAddr
220cf7d6b7aSMuzi    )
2215359309bSGuokai Chen  }
2225359309bSGuokai Chen
2235359309bSGuokai Chen  // commented out for this br could be the last instruction in the fetch block
2245359309bSGuokai Chen  def checkNotTakenConsecutive = {
2255359309bSGuokai Chen    val prevNotTakenValid  = RegInit(0.B)
2264d53e0efSzhou tao    val prevNotTakenFtqPtr = Reg(new FtqPtr)
2275359309bSGuokai Chen    for (i <- 0 until DecodeWidth - 1) {
2285359309bSGuokai Chen      // for instrs that is not the last, if a not-taken br, the next instr should have the same ftqPtr
2295359309bSGuokai Chen      // for instrs that is the last, record and check next request
2305359309bSGuokai Chen      when(ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr) {
2315359309bSGuokai Chen        when(ibuffer.io.out(i + 1).fire) {
2325359309bSGuokai Chen          // not last br, check now
2335359309bSGuokai Chen        }.otherwise {
2345359309bSGuokai Chen          // last br, record its info
2355359309bSGuokai Chen          prevNotTakenValid  := true.B
2364d53e0efSzhou tao          prevNotTakenFtqPtr := checkTargetPtr(i)
2375359309bSGuokai Chen        }
2385359309bSGuokai Chen      }
2398b33cd30Sklin02      XSError(
2408b33cd30Sklin02        ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr &&
2418b33cd30Sklin02          ibuffer.io.out(i + 1).fire &&
2428b33cd30Sklin02          checkTargetPtr(i).value =/= checkTargetPtr(i + 1).value,
2438b33cd30Sklin02        "not-taken br should have same ftqPtr\n"
2448b33cd30Sklin02      )
2455359309bSGuokai Chen    }
2465359309bSGuokai Chen    when(ibuffer.io.out(DecodeWidth - 1).fire && ibuffer.io.out(DecodeWidth - 1).bits.pd.isBr) {
2475359309bSGuokai Chen      // last instr is a br, record its info
2485359309bSGuokai Chen      prevNotTakenValid  := true.B
2494d53e0efSzhou tao      prevNotTakenFtqPtr := checkTargetPtr(DecodeWidth - 1)
2505359309bSGuokai Chen    }
2515359309bSGuokai Chen    when(prevNotTakenValid && ibuffer.io.out(0).fire) {
2525359309bSGuokai Chen      prevNotTakenValid := false.B
2535359309bSGuokai Chen    }
2548b33cd30Sklin02    XSError(
2558b33cd30Sklin02      prevNotTakenValid && ibuffer.io.out(0).fire &&
2568b33cd30Sklin02        prevNotTakenFtqPtr.value =/= checkTargetPtr(0).value,
2578b33cd30Sklin02      "not-taken br should have same ftqPtr\n"
2588b33cd30Sklin02    )
2598b33cd30Sklin02
2605359309bSGuokai Chen    when(needFlush) {
2615359309bSGuokai Chen      prevNotTakenValid := false.B
2625359309bSGuokai Chen    }
2635359309bSGuokai Chen  }
2645359309bSGuokai Chen
2655359309bSGuokai Chen  def checkTakenNotConsecutive = {
2665359309bSGuokai Chen    val prevTakenValid  = RegInit(0.B)
2674d53e0efSzhou tao    val prevTakenFtqPtr = Reg(new FtqPtr)
2685359309bSGuokai Chen    for (i <- 0 until DecodeWidth - 1) {
2695359309bSGuokai Chen      // for instrs that is not the last, if a taken br, the next instr should not have the same ftqPtr
2705359309bSGuokai Chen      // for instrs that is the last, record and check next request
2715359309bSGuokai Chen      when(ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr && ibuffer.io.out(i).bits.pred_taken) {
2725359309bSGuokai Chen        when(ibuffer.io.out(i + 1).fire) {
2735359309bSGuokai Chen          // not last br, check now
2745359309bSGuokai Chen        }.otherwise {
2755359309bSGuokai Chen          // last br, record its info
2765359309bSGuokai Chen          prevTakenValid  := true.B
2774d53e0efSzhou tao          prevTakenFtqPtr := checkTargetPtr(i)
2785359309bSGuokai Chen        }
2795359309bSGuokai Chen      }
2808b33cd30Sklin02      XSError(
2818b33cd30Sklin02        ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr && ibuffer.io.out(i).bits.pred_taken &&
2828b33cd30Sklin02          ibuffer.io.out(i + 1).fire &&
2838b33cd30Sklin02          (checkTargetPtr(i) + 1.U).value =/= checkTargetPtr(i + 1).value,
2848b33cd30Sklin02        "taken br should have consecutive ftqPtr\n"
2858b33cd30Sklin02      )
2865359309bSGuokai Chen    }
287cf7d6b7aSMuzi    when(ibuffer.io.out(DecodeWidth - 1).fire && ibuffer.io.out(DecodeWidth - 1).bits.pd.isBr && ibuffer.io.out(
288cf7d6b7aSMuzi      DecodeWidth - 1
289cf7d6b7aSMuzi    ).bits.pred_taken) {
2905359309bSGuokai Chen      // last instr is a br, record its info
2915359309bSGuokai Chen      prevTakenValid  := true.B
2924d53e0efSzhou tao      prevTakenFtqPtr := checkTargetPtr(DecodeWidth - 1)
2935359309bSGuokai Chen    }
2945359309bSGuokai Chen    when(prevTakenValid && ibuffer.io.out(0).fire) {
2955359309bSGuokai Chen      prevTakenValid := false.B
2965359309bSGuokai Chen    }
2978b33cd30Sklin02    XSError(
2988b33cd30Sklin02      prevTakenValid && ibuffer.io.out(0).fire &&
2998b33cd30Sklin02        (prevTakenFtqPtr + 1.U).value =/= checkTargetPtr(0).value,
3008b33cd30Sklin02      "taken br should have consecutive ftqPtr\n"
3018b33cd30Sklin02    )
3025359309bSGuokai Chen    when(needFlush) {
3035359309bSGuokai Chen      prevTakenValid := false.B
3045359309bSGuokai Chen    }
3055359309bSGuokai Chen  }
3065359309bSGuokai Chen
3075359309bSGuokai Chen  def checkNotTakenPC = {
3085359309bSGuokai Chen    val prevNotTakenPC    = Reg(UInt(VAddrBits.W))
3095359309bSGuokai Chen    val prevIsRVC         = Reg(Bool())
3105359309bSGuokai Chen    val prevNotTakenValid = RegInit(0.B)
3115359309bSGuokai Chen
3125359309bSGuokai Chen    for (i <- 0 until DecodeWidth - 1) {
3135359309bSGuokai Chen      when(ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr && !ibuffer.io.out(i).bits.pred_taken) {
3148b33cd30Sklin02        when(ibuffer.io.out(i + 1).fire) {}.otherwise {
3155359309bSGuokai Chen          prevNotTakenValid := true.B
3165359309bSGuokai Chen          prevIsRVC         := ibuffer.io.out(i).bits.pd.isRVC
3175359309bSGuokai Chen          prevNotTakenPC    := ibuffer.io.out(i).bits.pc
3185359309bSGuokai Chen        }
3195359309bSGuokai Chen      }
3208b33cd30Sklin02      XSError(
3218b33cd30Sklin02        ibuffer.io.out(i).fire && ibuffer.io.out(i).bits.pd.isBr && !ibuffer.io.out(i).bits.pred_taken &&
3228b33cd30Sklin02          ibuffer.io.out(i + 1).fire &&
3238b33cd30Sklin02          ibuffer.io.out(i).bits.pc + Mux(ibuffer.io.out(i).bits.pd.isRVC, 2.U, 4.U) =/= ibuffer.io.out(
3248b33cd30Sklin02            i + 1
3258b33cd30Sklin02          ).bits.pc,
3268b33cd30Sklin02        "not-taken br should have consecutive pc\n"
3278b33cd30Sklin02      )
3285359309bSGuokai Chen    }
329cf7d6b7aSMuzi    when(ibuffer.io.out(DecodeWidth - 1).fire && ibuffer.io.out(DecodeWidth - 1).bits.pd.isBr && !ibuffer.io.out(
330cf7d6b7aSMuzi      DecodeWidth - 1
331cf7d6b7aSMuzi    ).bits.pred_taken) {
3325359309bSGuokai Chen      prevNotTakenValid := true.B
3335359309bSGuokai Chen      prevIsRVC         := ibuffer.io.out(DecodeWidth - 1).bits.pd.isRVC
3345359309bSGuokai Chen      prevNotTakenPC    := ibuffer.io.out(DecodeWidth - 1).bits.pc
3355359309bSGuokai Chen    }
3365359309bSGuokai Chen    when(prevNotTakenValid && ibuffer.io.out(0).fire) {
3378b33cd30Sklin02      prevNotTakenValid := false.B
3388b33cd30Sklin02    }
339cf7d6b7aSMuzi    XSError(
3408b33cd30Sklin02      prevNotTakenValid && ibuffer.io.out(0).fire &&
341cf7d6b7aSMuzi        prevNotTakenPC + Mux(prevIsRVC, 2.U, 4.U) =/= ibuffer.io.out(0).bits.pc,
342cf7d6b7aSMuzi      "not-taken br should have same pc\n"
343cf7d6b7aSMuzi    )
3445359309bSGuokai Chen    when(needFlush) {
3455359309bSGuokai Chen      prevNotTakenValid := false.B
3465359309bSGuokai Chen    }
3475359309bSGuokai Chen  }
3485359309bSGuokai Chen
3495359309bSGuokai Chen  def checkTakenPC = {
3504d53e0efSzhou tao    val prevTakenFtqPtr = Reg(new FtqPtr)
3515359309bSGuokai Chen    val prevTakenValid  = RegInit(0.B)
3525359309bSGuokai Chen    val prevTakenTarget = Wire(UInt(VAddrBits.W))
3534d53e0efSzhou tao    prevTakenTarget := checkPcMem((prevTakenFtqPtr + 1.U).value).startAddr
3545359309bSGuokai Chen
3555359309bSGuokai Chen    for (i <- 0 until DecodeWidth - 1) {
3565359309bSGuokai Chen      when(ibuffer.io.out(i).fire && !ibuffer.io.out(i).bits.pd.notCFI && ibuffer.io.out(i).bits.pred_taken) {
3578b33cd30Sklin02        when(ibuffer.io.out(i + 1).fire) {}.otherwise {
3585359309bSGuokai Chen          prevTakenValid  := true.B
3594d53e0efSzhou tao          prevTakenFtqPtr := checkTargetPtr(i)
3605359309bSGuokai Chen        }
3615359309bSGuokai Chen      }
3628b33cd30Sklin02      XSError(
3638b33cd30Sklin02        ibuffer.io.out(i).fire && !ibuffer.io.out(i).bits.pd.notCFI && ibuffer.io.out(i).bits.pred_taken &&
3648b33cd30Sklin02          ibuffer.io.out(i + 1).fire &&
3658b33cd30Sklin02          checkTarget(i) =/= ibuffer.io.out(i + 1).bits.pc,
3668b33cd30Sklin02        "taken instr should follow target pc\n"
3678b33cd30Sklin02      )
3685359309bSGuokai Chen    }
369cf7d6b7aSMuzi    when(ibuffer.io.out(DecodeWidth - 1).fire && !ibuffer.io.out(DecodeWidth - 1).bits.pd.notCFI && ibuffer.io.out(
370cf7d6b7aSMuzi      DecodeWidth - 1
371cf7d6b7aSMuzi    ).bits.pred_taken) {
3725359309bSGuokai Chen      prevTakenValid  := true.B
3734d53e0efSzhou tao      prevTakenFtqPtr := checkTargetPtr(DecodeWidth - 1)
3745359309bSGuokai Chen    }
3755359309bSGuokai Chen    when(prevTakenValid && ibuffer.io.out(0).fire) {
3765359309bSGuokai Chen      prevTakenValid := false.B
3775359309bSGuokai Chen    }
3788b33cd30Sklin02    XSError(
3798b33cd30Sklin02      prevTakenValid && ibuffer.io.out(0).fire &&
3808b33cd30Sklin02        prevTakenTarget =/= ibuffer.io.out(0).bits.pc,
3818b33cd30Sklin02      "taken instr should follow target pc\n"
3828b33cd30Sklin02    )
3835359309bSGuokai Chen    when(needFlush) {
3845359309bSGuokai Chen      prevTakenValid := false.B
3855359309bSGuokai Chen    }
3865359309bSGuokai Chen  }
3875359309bSGuokai Chen
3885359309bSGuokai Chen  // checkNotTakenConsecutive
3895359309bSGuokai Chen  checkTakenNotConsecutive
3905359309bSGuokai Chen  checkTakenPC
3915359309bSGuokai Chen  checkNotTakenPC
3925359309bSGuokai Chen
393a37fbf10SJay  ifu.io.rob_commits <> io.backend.toFtq.rob_commits
394a37fbf10SJay
39509c6f1ddSLingrui98  ibuffer.io.flush                := needFlush
396d2b20d1aSTang Haojin  ibuffer.io.ControlRedirect      := FlushControlRedirect
397d2b20d1aSTang Haojin  ibuffer.io.MemVioRedirect       := FlushMemVioRedirect
398d2b20d1aSTang Haojin  ibuffer.io.ControlBTBMissBubble := FlushControlBTBMiss
399d2b20d1aSTang Haojin  ibuffer.io.TAGEMissBubble       := FlushTAGEMiss
400d2b20d1aSTang Haojin  ibuffer.io.SCMissBubble         := FlushSCMiss
401d2b20d1aSTang Haojin  ibuffer.io.ITTAGEMissBubble     := FlushITTAGEMiss
402d2b20d1aSTang Haojin  ibuffer.io.RASMissBubble        := FlushRASMiss
40305cc2a4eSXuan Hu  ibuffer.io.decodeCanAccept      := io.backend.canAccept
404d2b20d1aSTang Haojin
405d2b20d1aSTang Haojin  FlushControlBTBMiss := ftq.io.ControlBTBMissBubble
406d2b20d1aSTang Haojin  FlushTAGEMiss       := ftq.io.TAGEMissBubble
407d2b20d1aSTang Haojin  FlushSCMiss         := ftq.io.SCMissBubble
408d2b20d1aSTang Haojin  FlushITTAGEMiss     := ftq.io.ITTAGEMissBubble
409d2b20d1aSTang Haojin  FlushRASMiss        := ftq.io.RASMissBubble
410d2b20d1aSTang Haojin
41109c6f1ddSLingrui98  io.backend.cfVec <> ibuffer.io.out
412d2b20d1aSTang Haojin  io.backend.stallReason <> ibuffer.io.stallReason
41309c6f1ddSLingrui98
4140be662e4SJay  instrUncache.io.req <> ifu.io.uncacheInter.toUncache
4150be662e4SJay  ifu.io.uncacheInter.fromUncache <> instrUncache.io.resp
41658dbdfc2SJay  instrUncache.io.flush := false.B
41758dbdfc2SJay  io.error <> RegNext(RegNext(icache.io.error))
41809c6f1ddSLingrui98
41941cb8b61SJenius  icache.io.hartId := io.hartId
42041cb8b61SJenius
42160ebee38STang Haojin  itlbRepeater1.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr
42260ebee38STang Haojin
42309c6f1ddSLingrui98  io.frontendInfo.ibufFull := RegNext(ibuffer.io.full)
424233f2ad0Szhanglinjuan  io.resetInFrontend       := reset.asBool
425cd365d4cSrvcoresjw
4261ca0e4f3SYinan Xu  // PFEvent
4271ca0e4f3SYinan Xu  val pfevent = Module(new PFEvent)
4281ca0e4f3SYinan Xu  pfevent.io.distribute_csr := io.csrCtrl.distribute_csr
4291ca0e4f3SYinan Xu  val csrevents = pfevent.io.hpmevent.take(8)
430cd365d4cSrvcoresjw
4319a128342SHaoyuan Feng  val perfFromUnits = Seq(ifu, ibuffer, icache, ftq, bpu).flatMap(_.getPerfEvents)
4329a128342SHaoyuan Feng  val perfFromIO    = Seq()
4339a128342SHaoyuan Feng  val perfBlock     = Seq()
4349a128342SHaoyuan Feng  // let index = 0 be no event
4359a128342SHaoyuan Feng  val allPerfEvents = Seq(("noEvent", 0.U)) ++ perfFromUnits ++ perfFromIO ++ perfBlock
4369a128342SHaoyuan Feng
4379a128342SHaoyuan Feng  if (printEventCoding) {
4389a128342SHaoyuan Feng    for (((name, inc), i) <- allPerfEvents.zipWithIndex) {
4399a128342SHaoyuan Feng      println("Frontend perfEvents Set", name, inc, i)
4409a128342SHaoyuan Feng    }
4419a128342SHaoyuan Feng  }
4429a128342SHaoyuan Feng
4439a128342SHaoyuan Feng  val allPerfInc          = allPerfEvents.map(_._2.asTypeOf(new PerfEvent))
4449a128342SHaoyuan Feng  override val perfEvents = HPerfMonitor(csrevents, allPerfInc).getPerfEvents
4451ca0e4f3SYinan Xu  generatePerfEvent()
4464b2c87baS梁森 Liang Sen
4474b2c87baS梁森 Liang Sen  private val mbistPl = MbistPipeline.PlaceMbistPipeline(Int.MaxValue, "MbistPipeFrontend", hasMbist)
4484b2c87baS梁森 Liang Sen  private val mbistIntf = if (hasMbist) {
4494b2c87baS梁森 Liang Sen    val params = mbistPl.get.nodeParams
4504b2c87baS梁森 Liang Sen    val intf = Some(Module(new MbistInterface(
4514b2c87baS梁森 Liang Sen      params = Seq(params),
4524b2c87baS梁森 Liang Sen      ids = Seq(mbistPl.get.childrenIds),
4534b2c87baS梁森 Liang Sen      name = s"MbistIntfFrontend",
4544b2c87baS梁森 Liang Sen      pipelineNum = 1
4554b2c87baS梁森 Liang Sen    )))
4564b2c87baS梁森 Liang Sen    intf.get.toPipeline.head <> mbistPl.get.mbist
4574b2c87baS梁森 Liang Sen    mbistPl.get.registerCSV(intf.get.info, "MbistFrontend")
4584b2c87baS梁森 Liang Sen    intf.get.mbist := DontCare
4594b2c87baS梁森 Liang Sen    dontTouch(intf.get.mbist)
4604b2c87baS梁森 Liang Sen    // TODO: add mbist controller connections here
4614b2c87baS梁森 Liang Sen    intf
4624b2c87baS梁森 Liang Sen  } else {
4634b2c87baS梁森 Liang Sen    None
4644b2c87baS梁森 Liang Sen  }
46530f35717Scz4e  private val sigFromSrams = if (hasDFT) Some(SramHelper.genBroadCastBundleTop()) else None
4664b2c87baS梁森 Liang Sen  private val cg           = ClockGate.genTeSrc
4674b2c87baS梁森 Liang Sen  dontTouch(cg)
468602aa9f1Scz4e
4694b2c87baS梁森 Liang Sen  if (hasMbist) {
47030f35717Scz4e    cg.cgen := io.dft.get.cgen
4714b2c87baS梁森 Liang Sen  } else {
4724b2c87baS梁森 Liang Sen    cg.cgen := false.B
4734b2c87baS梁森 Liang Sen  }
474602aa9f1Scz4e
47530f35717Scz4e  sigFromSrams.foreach { case sig => sig := DontCare }
47630f35717Scz4e  sigFromSrams.zip(io.dft).foreach {
47730f35717Scz4e    case (sig, dft) =>
47830f35717Scz4e      if (hasMbist) {
47930f35717Scz4e        sig.ram_hold     := dft.ram_hold
48030f35717Scz4e        sig.ram_bypass   := dft.ram_bypass
48130f35717Scz4e        sig.ram_bp_clken := dft.ram_bp_clken
48230f35717Scz4e        sig.ram_aux_clk  := dft.ram_aux_clk
48330f35717Scz4e        sig.ram_aux_ckbp := dft.ram_aux_ckbp
48430f35717Scz4e        sig.ram_mcp_hold := dft.ram_mcp_hold
485*e5325730Scz4e        sig.cgen         := dft.cgen
48630f35717Scz4e      }
487602aa9f1Scz4e      if (hasSramCtl) {
48830f35717Scz4e        sig.ram_ctl := RegNext(dft.ram_ctl)
48930f35717Scz4e      }
490602aa9f1Scz4e  }
49109c6f1ddSLingrui98}
492