1569b279fSLingrui98/*************************************************************************************** 2569b279fSLingrui98* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3569b279fSLingrui98* Copyright (c) 2020-2021 Peng Cheng Laboratory 4569b279fSLingrui98* 5569b279fSLingrui98* XiangShan is licensed under Mulan PSL v2. 6569b279fSLingrui98* You can use this software according to the terms and conditions of the Mulan PSL v2. 7569b279fSLingrui98* You may obtain a copy of Mulan PSL v2 at: 8569b279fSLingrui98* http://license.coscl.org.cn/MulanPSL2 9569b279fSLingrui98* 10569b279fSLingrui98* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11569b279fSLingrui98* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12569b279fSLingrui98* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13569b279fSLingrui98* 14569b279fSLingrui98* See the Mulan PSL v2 for more details. 15569b279fSLingrui98***************************************************************************************/ 16569b279fSLingrui98package xiangshan.frontend 17569b279fSLingrui98 18569b279fSLingrui98import chisel3._ 19569b279fSLingrui98import chisel3.util._ 20cf7d6b7aSMuziimport org.chipsalliance.cde.config.Parameters 213c02ee8fSwakafaimport utility._ 22cf7d6b7aSMuziimport xiangshan._ 23569b279fSLingrui98 24cf7d6b7aSMuziclass WrBypass[T <: Data]( 25cf7d6b7aSMuzi gen: T, 26cf7d6b7aSMuzi val numEntries: Int, 27cf7d6b7aSMuzi val idxWidth: Int, 28cf7d6b7aSMuzi val numWays: Int = 1, 29*8795ffc0SSam Castleberry val tagWidth: Int = 0 30cf7d6b7aSMuzi)(implicit p: Parameters) extends XSModule { 31569b279fSLingrui98 require(numEntries >= 0) 32569b279fSLingrui98 require(idxWidth > 0) 33569b279fSLingrui98 require(numWays >= 1) 34569b279fSLingrui98 require(tagWidth >= 0) 35569b279fSLingrui98 def hasTag = tagWidth > 0 36569b279fSLingrui98 def multipleWays = numWays > 1 37569b279fSLingrui98 val io = IO(new Bundle { 38569b279fSLingrui98 val wen = Input(Bool()) 39569b279fSLingrui98 val write_idx = Input(UInt(idxWidth.W)) 40569b279fSLingrui98 val write_tag = if (hasTag) Some(Input(UInt(tagWidth.W))) else None 41569b279fSLingrui98 val write_data = Input(Vec(numWays, gen)) 42569b279fSLingrui98 val write_way_mask = if (multipleWays) Some(Input(Vec(numWays, Bool()))) else None 43569b279fSLingrui98 44569b279fSLingrui98 val hit = Output(Bool()) 45569b279fSLingrui98 val hit_data = Vec(numWays, Valid(gen)) 46569b279fSLingrui98 }) 47569b279fSLingrui98 4876e02f07SLingrui98 class Idx_Tag extends Bundle { 4976e02f07SLingrui98 val idx = UInt(idxWidth.W) 5076e02f07SLingrui98 val tag = if (hasTag) Some(UInt(tagWidth.W)) else None 5176e02f07SLingrui98 def apply(idx: UInt, tag: UInt) = { 5276e02f07SLingrui98 this.idx := idx 5376e02f07SLingrui98 this.tag.map(_ := tag) 5476e02f07SLingrui98 } 5576e02f07SLingrui98 } 56478bf92cSYuandongliang 57*8795ffc0SSam Castleberry val idx_tag_cam = Module(new IndexableCAMTemplate(new Idx_Tag, numEntries, 1)) 5876e02f07SLingrui98 val data_mem = Mem(numEntries, Vec(numWays, gen)) 59569b279fSLingrui98 60569b279fSLingrui98 val valids = RegInit(0.U.asTypeOf(Vec(numEntries, Vec(numWays, Bool())))) 6102585c22SLingrui98 val ever_written = RegInit(0.U.asTypeOf(Vec(numEntries, Bool()))) 62569b279fSLingrui98 6376e02f07SLingrui98 idx_tag_cam.io.r.req(0)(io.write_idx, io.write_tag.getOrElse(0.U)) 6402585c22SLingrui98 val hits_oh = idx_tag_cam.io.r.resp(0).zip(ever_written).map { case (h, ew) => h && ew } 6576e02f07SLingrui98 val hit_idx = OHToUInt(hits_oh) 6676e02f07SLingrui98 val hit = hits_oh.reduce(_ || _) 67569b279fSLingrui98 68569b279fSLingrui98 io.hit := hit 69569b279fSLingrui98 for (i <- 0 until numWays) { 7076e02f07SLingrui98 io.hit_data(i).valid := Mux1H(hits_oh, valids)(i) 7176e02f07SLingrui98 io.hit_data(i).bits := data_mem.read(hit_idx)(i) 72569b279fSLingrui98 } 73569b279fSLingrui98 74b3064620SEaston Man // Replacer 75b3064620SEaston Man // Because data_mem can only write to one index 76b3064620SEaston Man // Implementing a per-way replacer is meaningless 77b3064620SEaston Man // So here use one replacer for all ways 78b3064620SEaston Man val replacer = ReplacementPolicy.fromString("plru", numEntries) // numEntries in total 79b3064620SEaston Man val replacer_touch_ways = Wire(Vec(1, Valid(UInt(log2Ceil(numEntries).W)))) // One index at a time 80b3064620SEaston Man val enq_idx = replacer.way 8176e02f07SLingrui98 val full_mask = Fill(numWays, 1.U(1.W)).asTypeOf(Vec(numWays, Bool())) 8276e02f07SLingrui98 val update_way_mask = io.write_way_mask.getOrElse(full_mask) 8376e02f07SLingrui98 8476e02f07SLingrui98 // write data on every request 8576e02f07SLingrui98 when(io.wen) { 8676e02f07SLingrui98 val data_write_idx = Mux(hit, hit_idx, enq_idx) 8776e02f07SLingrui98 data_mem.write(data_write_idx, io.write_data, update_way_mask) 8876e02f07SLingrui98 } 89b3064620SEaston Man replacer_touch_ways(0).valid := io.wen 90b3064620SEaston Man replacer_touch_ways(0).bits := Mux(hit, hit_idx, enq_idx) 91b3064620SEaston Man replacer.access(replacer_touch_ways) 9276e02f07SLingrui98 9376e02f07SLingrui98 // update valids 94569b279fSLingrui98 for (i <- 0 until numWays) { 95569b279fSLingrui98 when(io.wen) { 96569b279fSLingrui98 when(hit) { 9776e02f07SLingrui98 when(update_way_mask(i)) { 98569b279fSLingrui98 valids(hit_idx)(i) := true.B 99569b279fSLingrui98 } 100569b279fSLingrui98 }.otherwise { 10102585c22SLingrui98 ever_written(enq_idx) := true.B 102569b279fSLingrui98 valids(enq_idx)(i) := false.B 10376e02f07SLingrui98 when(update_way_mask(i)) { 104569b279fSLingrui98 valids(enq_idx)(i) := true.B 10576e02f07SLingrui98 } 106569b279fSLingrui98 } 107569b279fSLingrui98 } 108569b279fSLingrui98 } 109569b279fSLingrui98 11076e02f07SLingrui98 val enq_en = io.wen && !hit 11176e02f07SLingrui98 idx_tag_cam.io.w.valid := enq_en 11276e02f07SLingrui98 idx_tag_cam.io.w.bits.index := enq_idx 11376e02f07SLingrui98 idx_tag_cam.io.w.bits.data(io.write_idx, io.write_tag.getOrElse(0.U)) 114569b279fSLingrui98 115569b279fSLingrui98 XSPerfAccumulate("wrbypass_hit", io.wen && hit) 116569b279fSLingrui98 XSPerfAccumulate("wrbypass_miss", io.wen && !hit) 117569b279fSLingrui98 118cf7d6b7aSMuzi XSDebug( 119cf7d6b7aSMuzi io.wen && hit, 120cf7d6b7aSMuzi p"wrbypass hit entry #${hit_idx}, idx ${io.write_idx}" + 121cf7d6b7aSMuzi p"tag ${io.write_tag.getOrElse(0.U)}data ${io.write_data}\n" 122cf7d6b7aSMuzi ) 123cf7d6b7aSMuzi XSDebug( 124cf7d6b7aSMuzi io.wen && !hit, 125cf7d6b7aSMuzi p"wrbypass enq entry #${enq_idx}, idx ${io.write_idx}" + 126cf7d6b7aSMuzi p"tag ${io.write_tag.getOrElse(0.U)}data ${io.write_data}\n" 127cf7d6b7aSMuzi ) 128569b279fSLingrui98} 129