xref: /XiangShan/src/main/scala/xiangshan/frontend/icache/ICache.scala (revision 30f35717e23156cb95b30a36db530384545b48a4)
11d8f4dcbSJay/***************************************************************************************
2e3da8badSTang Haojin* Copyright (c) 2024 Beijing Institute of Open Source Chip (BOSC)
3e3da8badSTang Haojin* Copyright (c) 2020-2024 Institute of Computing Technology, Chinese Academy of Sciences
41d8f4dcbSJay* Copyright (c) 2020-2021 Peng Cheng Laboratory
51d8f4dcbSJay*
61d8f4dcbSJay* XiangShan is licensed under Mulan PSL v2.
71d8f4dcbSJay* You can use this software according to the terms and conditions of the Mulan PSL v2.
81d8f4dcbSJay* You may obtain a copy of Mulan PSL v2 at:
91d8f4dcbSJay*          http://license.coscl.org.cn/MulanPSL2
101d8f4dcbSJay*
111d8f4dcbSJay* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
121d8f4dcbSJay* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
131d8f4dcbSJay* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
141d8f4dcbSJay*
151d8f4dcbSJay* See the Mulan PSL v2 for more details.
16c49ebec8SHaoyuan Feng*
17c49ebec8SHaoyuan Feng*
18c49ebec8SHaoyuan Feng* Acknowledgement
19c49ebec8SHaoyuan Feng*
20c49ebec8SHaoyuan Feng* This implementation is inspired by several key papers:
21c49ebec8SHaoyuan Feng* [1] Glenn Reinman, Brad Calder, and Todd Austin. "[Fetch directed instruction prefetching.]
22c49ebec8SHaoyuan Feng* (https://doi.org/10.1109/MICRO.1999.809439)" 32nd Annual ACM/IEEE International Symposium on Microarchitecture
23c49ebec8SHaoyuan Feng* (MICRO). 1999.
241d8f4dcbSJay***************************************************************************************/
251d8f4dcbSJay
261d8f4dcbSJaypackage xiangshan.frontend.icache
271d8f4dcbSJay
281d8f4dcbSJayimport chisel3._
297f37d55fSTang Haojinimport chisel3.util._
306c106319Sxu_zhimport freechips.rocketchip.diplomacy.AddressSet
31cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.IdRange
32cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModule
33cf7d6b7aSMuziimport freechips.rocketchip.diplomacy.LazyModuleImp
341d8f4dcbSJayimport freechips.rocketchip.tilelink._
351d8f4dcbSJayimport freechips.rocketchip.util.BundleFieldBase
36cf7d6b7aSMuziimport huancun.AliasField
37cf7d6b7aSMuziimport huancun.PrefetchField
387f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters
393c02ee8fSwakafaimport utility._
404b2c87baS梁森 Liang Senimport utility.mbist.MbistPipeline
41af7336e5Szhou taoimport utility.sram.SplittedSRAMTemplate
4211269ca7STang Haojinimport utility.sram.SRAMReadBus
4311269ca7STang Haojinimport utility.sram.SRAMTemplate
4411269ca7STang Haojinimport utility.sram.SRAMWriteBus
456c106319Sxu_zhimport utils._
467f37d55fSTang Haojinimport xiangshan._
477f37d55fSTang Haojinimport xiangshan.cache._
487f37d55fSTang Haojinimport xiangshan.cache.mmu.TlbRequestIO
497f37d55fSTang Haojinimport xiangshan.frontend._
501d8f4dcbSJay
511d8f4dcbSJaycase class ICacheParameters(
521d8f4dcbSJay    nSets:               Int = 256,
5376b0dfefSGuokai Chen    nWays:               Int = 4,
541d8f4dcbSJay    rowBits:             Int = 64,
551d8f4dcbSJay    nTLBEntries:         Int = 32,
561d8f4dcbSJay    tagECC:              Option[String] = None,
571d8f4dcbSJay    dataECC:             Option[String] = None,
581d8f4dcbSJay    replacer:            Option[String] = Some("random"),
59b92f8445Sssszwic    PortNumber:          Int = 2,
60b92f8445Sssszwic    nFetchMshr:          Int = 4,
61b92f8445Sssszwic    nPrefetchMshr:       Int = 10,
62b92f8445Sssszwic    nWayLookupSize:      Int = 32,
63b92f8445Sssszwic    DataCodeUnit:        Int = 64,
64b92f8445Sssszwic    ICacheDataBanks:     Int = 8,
65b92f8445Sssszwic    ICacheDataSRAMWidth: Int = 66,
66b92f8445Sssszwic    // TODO: hard code, need delete
67b92f8445Sssszwic    partWayNum:          Int = 4,
681d8f4dcbSJay    nMMIOs:              Int = 1,
696c106319Sxu_zh    blockBytes:          Int = 64,
706c106319Sxu_zh    cacheCtrlAddressOpt: Option[AddressSet] = None
711d8f4dcbSJay) extends L1CacheParameters {
721d8f4dcbSJay
73415fcbe2Sxu_zh  val setBytes:     Int         = nSets * blockBytes
74415fcbe2Sxu_zh  val aliasBitsOpt: Option[Int] = Option.when(setBytes > pageSize)(log2Ceil(setBytes / pageSize))
751d8f4dcbSJay  val reqFields: Seq[BundleFieldBase] = Seq(
76d2b20d1aSTang Haojin    PrefetchField(),
77d2b20d1aSTang Haojin    ReqSourceField()
781d8f4dcbSJay  ) ++ aliasBitsOpt.map(AliasField)
7915ee59e4Swakafa  val echoFields: Seq[BundleFieldBase] = Nil
801d8f4dcbSJay  def tagCode:    Code                 = Code.fromString(tagECC)
811d8f4dcbSJay  def dataCode:   Code                 = Code.fromString(dataECC)
821d8f4dcbSJay  def replacement = ReplacementPolicy.fromString(replacer, nWays, nSets)
831d8f4dcbSJay}
841d8f4dcbSJay
851d8f4dcbSJaytrait HasICacheParameters extends HasL1CacheParameters with HasInstrMMIOConst with HasIFUConst {
86415fcbe2Sxu_zh  val cacheParams: ICacheParameters = icacheParameters
871d8f4dcbSJay
886c106319Sxu_zh  def ctrlUnitParamsOpt: Option[L1ICacheCtrlParams] = OptionWrapper(
896c106319Sxu_zh    cacheParams.cacheCtrlAddressOpt.nonEmpty,
906c106319Sxu_zh    L1ICacheCtrlParams(
916c106319Sxu_zh      address = cacheParams.cacheCtrlAddressOpt.get,
926c106319Sxu_zh      regWidth = XLEN
936c106319Sxu_zh    )
946c106319Sxu_zh  )
956c106319Sxu_zh
96415fcbe2Sxu_zh  def ICacheSets:          Int = cacheParams.nSets
97415fcbe2Sxu_zh  def ICacheWays:          Int = cacheParams.nWays
98415fcbe2Sxu_zh  def PortNumber:          Int = cacheParams.PortNumber
99415fcbe2Sxu_zh  def nFetchMshr:          Int = cacheParams.nFetchMshr
100415fcbe2Sxu_zh  def nPrefetchMshr:       Int = cacheParams.nPrefetchMshr
101415fcbe2Sxu_zh  def nWayLookupSize:      Int = cacheParams.nWayLookupSize
102415fcbe2Sxu_zh  def DataCodeUnit:        Int = cacheParams.DataCodeUnit
103415fcbe2Sxu_zh  def ICacheDataBanks:     Int = cacheParams.ICacheDataBanks
104415fcbe2Sxu_zh  def ICacheDataSRAMWidth: Int = cacheParams.ICacheDataSRAMWidth
105415fcbe2Sxu_zh  def partWayNum:          Int = cacheParams.partWayNum
106b92f8445Sssszwic
107415fcbe2Sxu_zh  def ICacheMetaBits:      Int = tagBits // FIXME: unportable: maybe use somemethod to get width
108415fcbe2Sxu_zh  def ICacheMetaCodeBits:  Int = 1       // FIXME: unportable: maybe use cacheParams.tagCode.somemethod to get width
109415fcbe2Sxu_zh  def ICacheMetaEntryBits: Int = ICacheMetaBits + ICacheMetaCodeBits
1108966a895Sxu_zh
111415fcbe2Sxu_zh  def ICacheDataBits: Int = blockBits / ICacheDataBanks
112415fcbe2Sxu_zh  def ICacheDataCodeSegs: Int =
113415fcbe2Sxu_zh    math.ceil(ICacheDataBits / DataCodeUnit).toInt // split data to segments for ECC checking
114415fcbe2Sxu_zh  def ICacheDataCodeBits: Int =
115cf7d6b7aSMuzi    ICacheDataCodeSegs * 1 // FIXME: unportable: maybe use cacheParams.dataCode.somemethod to get width
116415fcbe2Sxu_zh  def ICacheDataEntryBits: Int = ICacheDataBits + ICacheDataCodeBits
117415fcbe2Sxu_zh  def ICacheBankVisitNum:  Int = 32 * 8 / ICacheDataBits + 1
118415fcbe2Sxu_zh  def highestIdxBit:       Int = log2Ceil(nSets) - 1
1191d8f4dcbSJay
120b92f8445Sssszwic  require((ICacheDataBanks >= 2) && isPow2(ICacheDataBanks))
1218966a895Sxu_zh  require(ICacheDataSRAMWidth >= ICacheDataEntryBits)
122b92f8445Sssszwic  require(isPow2(ICacheSets), s"nSets($ICacheSets) must be pow2")
123b92f8445Sssszwic  require(isPow2(ICacheWays), s"nWays($ICacheWays) must be pow2")
1241d8f4dcbSJay
1252a25dbb4SJay  def generatePipeControl(lastFire: Bool, thisFire: Bool, thisFlush: Bool, lastFlush: Bool): Bool = {
1262a25dbb4SJay    val valid = RegInit(false.B)
127cf7d6b7aSMuzi    when(thisFlush)(valid := false.B)
128cf7d6b7aSMuzi      .elsewhen(lastFire && !lastFlush)(valid := true.B)
129cf7d6b7aSMuzi      .elsewhen(thisFire)(valid := false.B)
1302a25dbb4SJay    valid
1312a25dbb4SJay  }
1322a25dbb4SJay
133cf7d6b7aSMuzi  def ResultHoldBypass[T <: Data](data: T, valid: Bool): T =
1342a25dbb4SJay    Mux(valid, data, RegEnable(data, valid))
1352a25dbb4SJay
136cf7d6b7aSMuzi  def ResultHoldBypass[T <: Data](data: T, init: T, valid: Bool): T =
137b92f8445Sssszwic    Mux(valid, data, RegEnable(data, init, valid))
138b92f8445Sssszwic
139b1ded4e8Sguohongyu  def holdReleaseLatch(valid: Bool, release: Bool, flush: Bool): Bool = {
140b1ded4e8Sguohongyu    val bit = RegInit(false.B)
141cf7d6b7aSMuzi    when(flush)(bit := false.B)
142cf7d6b7aSMuzi      .elsewhen(valid && !release)(bit := true.B)
143cf7d6b7aSMuzi      .elsewhen(release)(bit := false.B)
144b1ded4e8Sguohongyu    bit || valid
145b1ded4e8Sguohongyu  }
146b1ded4e8Sguohongyu
1475470b21eSguohongyu  def blockCounter(block: Bool, flush: Bool, threshold: Int): Bool = {
1485470b21eSguohongyu    val counter = RegInit(0.U(log2Up(threshold + 1).W))
149cf7d6b7aSMuzi    when(block)(counter := counter + 1.U)
150cf7d6b7aSMuzi    when(flush)(counter := 0.U)
1515470b21eSguohongyu    counter > threshold.U
1525470b21eSguohongyu  }
1535470b21eSguohongyu
154cf7d6b7aSMuzi  def InitQueue[T <: Data](entry: T, size: Int): Vec[T] =
155415fcbe2Sxu_zh    RegInit(VecInit(Seq.fill(size)(0.U.asTypeOf(entry.cloneType))))
15658c354d0Sssszwic
157b92f8445Sssszwic  def getBankSel(blkOffset: UInt, valid: Bool = true.B): Vec[UInt] = {
158415fcbe2Sxu_zh    val bankIdxLow  = (Cat(0.U(1.W), blkOffset) >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt
159415fcbe2Sxu_zh    val bankIdxHigh = ((Cat(0.U(1.W), blkOffset) + 32.U) >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt
160b92f8445Sssszwic    val bankSel     = VecInit((0 until ICacheDataBanks * 2).map(i => (i.U >= bankIdxLow) && (i.U <= bankIdxHigh)))
161cf7d6b7aSMuzi    assert(
162cf7d6b7aSMuzi      !valid || PopCount(bankSel) === ICacheBankVisitNum.U,
163cf7d6b7aSMuzi      "The number of bank visits must be %d, but bankSel=0x%x",
164cf7d6b7aSMuzi      ICacheBankVisitNum.U,
165cf7d6b7aSMuzi      bankSel.asUInt
166cf7d6b7aSMuzi    )
167b92f8445Sssszwic    bankSel.asTypeOf(UInt((ICacheDataBanks * 2).W)).asTypeOf(Vec(2, UInt(ICacheDataBanks.W)))
168b92f8445Sssszwic  }
169b92f8445Sssszwic
170415fcbe2Sxu_zh  def getLineSel(blkOffset: UInt): Vec[Bool] = {
171415fcbe2Sxu_zh    val bankIdxLow = (blkOffset >> log2Ceil(blockBytes / ICacheDataBanks)).asUInt
172b92f8445Sssszwic    val lineSel    = VecInit((0 until ICacheDataBanks).map(i => i.U < bankIdxLow))
173b92f8445Sssszwic    lineSel
174b92f8445Sssszwic  }
175b92f8445Sssszwic
176415fcbe2Sxu_zh  def getBlkAddr(addr:        UInt): UInt = (addr >> blockOffBits).asUInt
177415fcbe2Sxu_zh  def getPhyTagFromBlk(addr:  UInt): UInt = (addr >> (pgUntagBits - blockOffBits)).asUInt
178415fcbe2Sxu_zh  def getIdxFromBlk(addr:     UInt): UInt = addr(idxBits - 1, 0)
179415fcbe2Sxu_zh  def getPaddrFromPtag(vaddr: UInt, ptag: UInt): UInt = Cat(ptag, vaddr(pgUntagBits - 1, 0))
180415fcbe2Sxu_zh  def getPaddrFromPtag(vaddrVec: Vec[UInt], ptagVec: Vec[UInt]): Vec[UInt] =
181415fcbe2Sxu_zh    VecInit((vaddrVec zip ptagVec).map { case (vaddr, ptag) => getPaddrFromPtag(vaddr, ptag) })
1821d8f4dcbSJay}
1831d8f4dcbSJay
1846c106319Sxu_zhtrait HasICacheECCHelper extends HasICacheParameters {
1856c106319Sxu_zh  def encodeMetaECC(meta: UInt, poison: Bool = false.B): UInt = {
1866c106319Sxu_zh    require(meta.getWidth == ICacheMetaBits)
1876c106319Sxu_zh    val code = cacheParams.tagCode.encode(meta, poison) >> ICacheMetaBits
1886c106319Sxu_zh    code.asTypeOf(UInt(ICacheMetaCodeBits.W))
1896c106319Sxu_zh  }
1906c106319Sxu_zh
1916c106319Sxu_zh  def encodeDataECC(data: UInt, poison: Bool = false.B): UInt = {
1926c106319Sxu_zh    require(data.getWidth == ICacheDataBits)
1936c106319Sxu_zh    val datas = data.asTypeOf(Vec(ICacheDataCodeSegs, UInt((ICacheDataBits / ICacheDataCodeSegs).W)))
1946c106319Sxu_zh    val codes = VecInit(datas.map(cacheParams.dataCode.encode(_, poison) >> (ICacheDataBits / ICacheDataCodeSegs)))
1956c106319Sxu_zh    codes.asTypeOf(UInt(ICacheDataCodeBits.W))
1966c106319Sxu_zh  }
1976c106319Sxu_zh}
1986c106319Sxu_zh
1991d8f4dcbSJayabstract class ICacheBundle(implicit p: Parameters) extends XSBundle
2001d8f4dcbSJay    with HasICacheParameters
2011d8f4dcbSJay
2021d8f4dcbSJayabstract class ICacheModule(implicit p: Parameters) extends XSModule
2031d8f4dcbSJay    with HasICacheParameters
2041d8f4dcbSJay
2051d8f4dcbSJayabstract class ICacheArray(implicit p: Parameters) extends XSModule
2061d8f4dcbSJay    with HasICacheParameters
2071d8f4dcbSJay
2081d8f4dcbSJayclass ICacheMetadata(implicit p: Parameters) extends ICacheBundle {
209415fcbe2Sxu_zh  val tag: UInt = UInt(tagBits.W)
2101d8f4dcbSJay}
2111d8f4dcbSJay
2121d8f4dcbSJayobject ICacheMetadata {
213415fcbe2Sxu_zh  def apply(tag: Bits)(implicit p: Parameters): ICacheMetadata = {
2149442775eSguohongyu    val meta = Wire(new ICacheMetadata)
2151d8f4dcbSJay    meta.tag := tag
2161d8f4dcbSJay    meta
2171d8f4dcbSJay  }
2181d8f4dcbSJay}
2191d8f4dcbSJay
220415fcbe2Sxu_zhclass ICacheMetaArrayIO(implicit p: Parameters) extends ICacheBundle {
221415fcbe2Sxu_zh  val write:    DecoupledIO[ICacheMetaWriteBundle] = Flipped(DecoupledIO(new ICacheMetaWriteBundle))
222415fcbe2Sxu_zh  val read:     DecoupledIO[ICacheReadBundle]      = Flipped(DecoupledIO(new ICacheReadBundle))
223415fcbe2Sxu_zh  val readResp: ICacheMetaRespBundle               = Output(new ICacheMetaRespBundle)
224415fcbe2Sxu_zh  val flush:    Vec[Valid[ICacheMetaFlushBundle]]  = Vec(PortNumber, Flipped(ValidIO(new ICacheMetaFlushBundle)))
225415fcbe2Sxu_zh  val flushAll: Bool                               = Input(Bool())
226415fcbe2Sxu_zh}
227415fcbe2Sxu_zh
2286c106319Sxu_zhclass ICacheMetaArray(implicit p: Parameters) extends ICacheArray with HasICacheECCHelper {
2298966a895Sxu_zh  class ICacheMetaEntry(implicit p: Parameters) extends ICacheBundle {
2308966a895Sxu_zh    val meta: ICacheMetadata = new ICacheMetadata
2318966a895Sxu_zh    val code: UInt           = UInt(ICacheMetaCodeBits.W)
2328966a895Sxu_zh  }
2331d8f4dcbSJay
2348966a895Sxu_zh  private object ICacheMetaEntry {
2356c106319Sxu_zh    def apply(meta: ICacheMetadata, poison: Bool)(implicit p: Parameters): ICacheMetaEntry = {
2368966a895Sxu_zh      val entry = Wire(new ICacheMetaEntry)
2378966a895Sxu_zh      entry.meta := meta
2386c106319Sxu_zh      entry.code := encodeMetaECC(meta.asUInt, poison)
2398966a895Sxu_zh      entry
2408966a895Sxu_zh    }
2418966a895Sxu_zh  }
2428966a895Sxu_zh
2438966a895Sxu_zh  // sanity check
2448966a895Sxu_zh  require(ICacheMetaEntryBits == (new ICacheMetaEntry).getWidth)
2458966a895Sxu_zh
246415fcbe2Sxu_zh  val io: ICacheMetaArrayIO = IO(new ICacheMetaArrayIO)
247afed18b5SJenius
248415fcbe2Sxu_zh  private val port_0_read_0 = io.read.valid && !io.read.bits.vSetIdx(0)(0)
249415fcbe2Sxu_zh  private val port_0_read_1 = io.read.valid && io.read.bits.vSetIdx(0)(0)
250415fcbe2Sxu_zh  private val port_1_read_1 = io.read.valid && io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
251415fcbe2Sxu_zh  private val port_1_read_0 = io.read.valid && !io.read.bits.vSetIdx(1)(0) && io.read.bits.isDoubleLine
252afed18b5SJenius
253415fcbe2Sxu_zh  private val port_0_read_0_reg = RegEnable(port_0_read_0, 0.U.asTypeOf(port_0_read_0), io.read.fire)
254415fcbe2Sxu_zh  private val port_0_read_1_reg = RegEnable(port_0_read_1, 0.U.asTypeOf(port_0_read_1), io.read.fire)
255415fcbe2Sxu_zh  private val port_1_read_1_reg = RegEnable(port_1_read_1, 0.U.asTypeOf(port_1_read_1), io.read.fire)
256415fcbe2Sxu_zh  private val port_1_read_0_reg = RegEnable(port_1_read_0, 0.U.asTypeOf(port_1_read_0), io.read.fire)
257afed18b5SJenius
258415fcbe2Sxu_zh  private val bank_0_idx = Mux(port_0_read_0, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
259415fcbe2Sxu_zh  private val bank_1_idx = Mux(port_0_read_1, io.read.bits.vSetIdx(0), io.read.bits.vSetIdx(1))
260afed18b5SJenius
261415fcbe2Sxu_zh  private val write_bank_0 = io.write.valid && !io.write.bits.bankIdx
262415fcbe2Sxu_zh  private val write_bank_1 = io.write.valid && io.write.bits.bankIdx
2631d8f4dcbSJay
2646c106319Sxu_zh  private val write_meta_bits = ICacheMetaEntry(
2656c106319Sxu_zh    meta = ICacheMetadata(
2668966a895Sxu_zh      tag = io.write.bits.phyTag
2676c106319Sxu_zh    ),
2686c106319Sxu_zh    poison = io.write.bits.poison
269cf7d6b7aSMuzi  )
2701d8f4dcbSJay
271415fcbe2Sxu_zh  private val tagArrays = (0 until PortNumber) map { bank =>
272af7336e5Szhou tao    val tagArray = Module(new SplittedSRAMTemplate(
2738966a895Sxu_zh      new ICacheMetaEntry(),
274415fcbe2Sxu_zh      set = nSets / PortNumber,
275afed18b5SJenius      way = nWays,
276af7336e5Szhou tao      waySplit = 2,
277af7336e5Szhou tao      dataSplit = 1,
278afed18b5SJenius      shouldReset = true,
279afed18b5SJenius      holdRead = true,
28039d55402Spengxiao      singlePort = true,
2814b2c87baS梁森 Liang Sen      withClockGate = true,
282*30f35717Scz4e      hasMbist = hasMbist,
283*30f35717Scz4e      hasSramCtl = hasSramCtl
2841d8f4dcbSJay    ))
2851d8f4dcbSJay
286afed18b5SJenius    // meta connection
287afed18b5SJenius    if (bank == 0) {
288afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_0 || port_1_read_0
289afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx = bank_0_idx(highestIdxBit, 1))
290afed18b5SJenius      tagArray.io.w.req.valid := write_bank_0
291cf7d6b7aSMuzi      tagArray.io.w.req.bits.apply(
292cf7d6b7aSMuzi        data = write_meta_bits,
293cf7d6b7aSMuzi        setIdx = io.write.bits.virIdx(highestIdxBit, 1),
294cf7d6b7aSMuzi        waymask = io.write.bits.waymask
295cf7d6b7aSMuzi      )
296cf7d6b7aSMuzi    } else {
297afed18b5SJenius      tagArray.io.r.req.valid := port_0_read_1 || port_1_read_1
298afed18b5SJenius      tagArray.io.r.req.bits.apply(setIdx = bank_1_idx(highestIdxBit, 1))
299afed18b5SJenius      tagArray.io.w.req.valid := write_bank_1
300cf7d6b7aSMuzi      tagArray.io.w.req.bits.apply(
301cf7d6b7aSMuzi        data = write_meta_bits,
302cf7d6b7aSMuzi        setIdx = io.write.bits.virIdx(highestIdxBit, 1),
303cf7d6b7aSMuzi        waymask = io.write.bits.waymask
304cf7d6b7aSMuzi      )
305afed18b5SJenius    }
3061d8f4dcbSJay
3071d8f4dcbSJay    tagArray
3081d8f4dcbSJay  }
3094b2c87baS梁森 Liang Sen  private val mbistPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeIcacheTag", hasMbist)
310b37bce8eSJinYue
311415fcbe2Sxu_zh  private val read_set_idx_next = RegEnable(io.read.bits.vSetIdx, 0.U.asTypeOf(io.read.bits.vSetIdx), io.read.fire)
312415fcbe2Sxu_zh  private val valid_array       = RegInit(VecInit(Seq.fill(nWays)(0.U(nSets.W))))
313415fcbe2Sxu_zh  private val valid_metas       = Wire(Vec(PortNumber, Vec(nWays, Bool())))
31460672d5eSguohongyu  // valid read
31560672d5eSguohongyu  (0 until PortNumber).foreach(i =>
31660672d5eSguohongyu    (0 until nWays).foreach(way =>
31760672d5eSguohongyu      valid_metas(i)(way) := valid_array(way)(read_set_idx_next(i))
318cf7d6b7aSMuzi    )
319cf7d6b7aSMuzi  )
32060672d5eSguohongyu  io.readResp.entryValid := valid_metas
32160672d5eSguohongyu
322e39d6828Sxu_zh  io.read.ready := !io.write.valid && !io.flush.map(_.valid).reduce(_ || _) && !io.flushAll &&
323e39d6828Sxu_zh    tagArrays.map(_.io.r.req.ready).reduce(_ && _)
324afed18b5SJenius
32560672d5eSguohongyu  // valid write
326415fcbe2Sxu_zh  private val way_num = OHToUInt(io.write.bits.waymask)
32760672d5eSguohongyu  when(io.write.valid) {
3289442775eSguohongyu    valid_array(way_num) := valid_array(way_num).bitSet(io.write.bits.virIdx, true.B)
32960672d5eSguohongyu  }
3301d8f4dcbSJay
3319442775eSguohongyu  XSPerfAccumulate("meta_refill_num", io.write.valid)
3329442775eSguohongyu
3338966a895Sxu_zh  io.readResp.metas <> DontCare
3348966a895Sxu_zh  io.readResp.codes <> DontCare
335415fcbe2Sxu_zh  private val readMetaEntries = tagArrays.map(port => port.io.r.resp.asTypeOf(Vec(nWays, new ICacheMetaEntry())))
336415fcbe2Sxu_zh  private val readMetas       = readMetaEntries.map(_.map(_.meta))
337415fcbe2Sxu_zh  private val readCodes       = readMetaEntries.map(_.map(_.code))
3388966a895Sxu_zh
3398966a895Sxu_zh  // TEST: force ECC to fail by setting readCodes to 0
3408966a895Sxu_zh  if (ICacheForceMetaECCError) {
3418966a895Sxu_zh    readCodes.foreach(_.foreach(_ := 0.U))
3428966a895Sxu_zh  }
3438966a895Sxu_zh
3441d8f4dcbSJay  when(port_0_read_0_reg) {
3458966a895Sxu_zh    io.readResp.metas(0) := readMetas(0)
3468966a895Sxu_zh    io.readResp.codes(0) := readCodes(0)
3471d8f4dcbSJay  }.elsewhen(port_0_read_1_reg) {
3488966a895Sxu_zh    io.readResp.metas(0) := readMetas(1)
3498966a895Sxu_zh    io.readResp.codes(0) := readCodes(1)
3501d8f4dcbSJay  }
3511d8f4dcbSJay
3521d8f4dcbSJay  when(port_1_read_0_reg) {
3538966a895Sxu_zh    io.readResp.metas(1) := readMetas(0)
3548966a895Sxu_zh    io.readResp.codes(1) := readCodes(0)
3551d8f4dcbSJay  }.elsewhen(port_1_read_1_reg) {
3568966a895Sxu_zh    io.readResp.metas(1) := readMetas(1)
3578966a895Sxu_zh    io.readResp.codes(1) := readCodes(1)
3581d8f4dcbSJay  }
3591d8f4dcbSJay
3600c26d810Sguohongyu  io.write.ready := true.B // TODO : has bug ? should be !io.cacheOp.req.valid
3612a6078bfSguohongyu
362e39d6828Sxu_zh  /*
363e39d6828Sxu_zh   * flush logic
364e39d6828Sxu_zh   */
365e39d6828Sxu_zh  // flush standalone set (e.g. flushed by mainPipe before doing re-fetch)
366e39d6828Sxu_zh  when(io.flush.map(_.valid).reduce(_ || _)) {
367e39d6828Sxu_zh    (0 until nWays).foreach { w =>
368e39d6828Sxu_zh      valid_array(w) := (0 until PortNumber).map { i =>
369e39d6828Sxu_zh        Mux(
370e39d6828Sxu_zh          // check if set `virIdx` in way `w` is requested to be flushed by port `i`
371e39d6828Sxu_zh          io.flush(i).valid && io.flush(i).bits.waymask(w),
372e39d6828Sxu_zh          valid_array(w).bitSet(io.flush(i).bits.virIdx, false.B),
373e39d6828Sxu_zh          valid_array(w)
3742a6078bfSguohongyu        )
375e39d6828Sxu_zh      }.reduce(_ & _)
3762a6078bfSguohongyu    }
3771d8f4dcbSJay  }
3781d8f4dcbSJay
379e39d6828Sxu_zh  // flush all (e.g. fence.i)
380e39d6828Sxu_zh  when(io.flushAll) {
381e39d6828Sxu_zh    (0 until nWays).foreach(w => valid_array(w) := 0.U)
382e39d6828Sxu_zh  }
383e39d6828Sxu_zh
384e39d6828Sxu_zh  // PERF: flush counter
385e39d6828Sxu_zh  XSPerfAccumulate("flush", io.flush.map(_.valid).reduce(_ || _))
386e39d6828Sxu_zh  XSPerfAccumulate("flush_all", io.flushAll)
387e39d6828Sxu_zh}
388e39d6828Sxu_zh
389415fcbe2Sxu_zhclass ICacheDataArrayIO(implicit p: Parameters) extends ICacheBundle {
390415fcbe2Sxu_zh  val write:    DecoupledIO[ICacheDataWriteBundle] = Flipped(DecoupledIO(new ICacheDataWriteBundle))
391415fcbe2Sxu_zh  val read:     Vec[DecoupledIO[ICacheReadBundle]] = Flipped(Vec(partWayNum, DecoupledIO(new ICacheReadBundle)))
392415fcbe2Sxu_zh  val readResp: ICacheDataRespBundle               = Output(new ICacheDataRespBundle)
393e5f1252bSGuokai Chen}
394b37bce8eSJinYue
3956c106319Sxu_zhclass ICacheDataArray(implicit p: Parameters) extends ICacheArray with HasICacheECCHelper {
396415fcbe2Sxu_zh  class ICacheDataEntry(implicit p: Parameters) extends ICacheBundle {
397415fcbe2Sxu_zh    val data: UInt = UInt(ICacheDataBits.W)
398415fcbe2Sxu_zh    val code: UInt = UInt(ICacheDataCodeBits.W)
399415fcbe2Sxu_zh  }
400415fcbe2Sxu_zh
401415fcbe2Sxu_zh  private object ICacheDataEntry {
4026c106319Sxu_zh    def apply(data: UInt, poison: Bool)(implicit p: Parameters): ICacheDataEntry = {
403b92f8445Sssszwic      val entry = Wire(new ICacheDataEntry)
404b92f8445Sssszwic      entry.data := data
4056c106319Sxu_zh      entry.code := encodeDataECC(data, poison)
406b92f8445Sssszwic      entry
407b37bce8eSJinYue    }
408b92f8445Sssszwic  }
409a61a35e0Sssszwic
410415fcbe2Sxu_zh  val io: ICacheDataArrayIO = IO(new ICacheDataArrayIO)
411b92f8445Sssszwic
412a61a35e0Sssszwic  /**
413a61a35e0Sssszwic    ******************************************************************************
414a61a35e0Sssszwic    * data array
415a61a35e0Sssszwic    ******************************************************************************
416a61a35e0Sssszwic    */
417415fcbe2Sxu_zh  private val writeDatas   = io.write.bits.data.asTypeOf(Vec(ICacheDataBanks, UInt(ICacheDataBits.W)))
4186c106319Sxu_zh  private val writeEntries = writeDatas.map(ICacheDataEntry(_, io.write.bits.poison).asUInt)
419b92f8445Sssszwic
420415fcbe2Sxu_zh  // io.read() are copies to control fan-out, we can simply use .head here
421415fcbe2Sxu_zh  private val bankSel  = getBankSel(io.read.head.bits.blkOffset, io.read.head.valid)
422415fcbe2Sxu_zh  private val lineSel  = getLineSel(io.read.head.bits.blkOffset)
423415fcbe2Sxu_zh  private val waymasks = io.read.head.bits.waymask
424415fcbe2Sxu_zh  private val masks    = Wire(Vec(nWays, Vec(ICacheDataBanks, Bool())))
425b92f8445Sssszwic  (0 until nWays).foreach { way =>
426b92f8445Sssszwic    (0 until ICacheDataBanks).foreach { bank =>
427cf7d6b7aSMuzi      masks(way)(bank) := Mux(
428cf7d6b7aSMuzi        lineSel(bank),
429cf7d6b7aSMuzi        waymasks(1)(way) && bankSel(1)(bank).asBool,
430cf7d6b7aSMuzi        waymasks(0)(way) && bankSel(0)(bank).asBool
431cf7d6b7aSMuzi      )
432b92f8445Sssszwic    }
433b92f8445Sssszwic  }
434b92f8445Sssszwic
435415fcbe2Sxu_zh  private val dataArrays = (0 until nWays).map { way =>
4364b2c87baS梁森 Liang Sen    val banks = (0 until ICacheDataBanks).map { bank =>
437b92f8445Sssszwic      val sramBank = Module(new SRAMTemplateWithFixedWidth(
4388966a895Sxu_zh        UInt(ICacheDataEntryBits.W),
439a61a35e0Sssszwic        set = nSets,
440b92f8445Sssszwic        width = ICacheDataSRAMWidth,
441a61a35e0Sssszwic        shouldReset = true,
442a61a35e0Sssszwic        holdRead = true,
44339d55402Spengxiao        singlePort = true,
4444b2c87baS梁森 Liang Sen        withClockGate = false, // enable signal timing is bad, no gating here
445*30f35717Scz4e        hasMbist = hasMbist,
446*30f35717Scz4e        hasSramCtl = hasSramCtl
4471d8f4dcbSJay      ))
4481d8f4dcbSJay
449b92f8445Sssszwic      // read
450b92f8445Sssszwic      sramBank.io.r.req.valid := io.read(bank % 4).valid && masks(way)(bank)
451cf7d6b7aSMuzi      sramBank.io.r.req.bits.apply(setIdx =
452cf7d6b7aSMuzi        Mux(lineSel(bank), io.read(bank % 4).bits.vSetIdx(1), io.read(bank % 4).bits.vSetIdx(0))
453cf7d6b7aSMuzi      )
454b92f8445Sssszwic      // write
455b92f8445Sssszwic      sramBank.io.w.req.valid := io.write.valid && io.write.bits.waymask(way).asBool
456a61a35e0Sssszwic      sramBank.io.w.req.bits.apply(
457b92f8445Sssszwic        data = writeEntries(bank),
458a61a35e0Sssszwic        setIdx = io.write.bits.virIdx,
459b92f8445Sssszwic        // waymask is invalid when way of SRAMTemplate <= 1
460b92f8445Sssszwic        waymask = 0.U
461a61a35e0Sssszwic      )
462a61a35e0Sssszwic      sramBank
463adc7b752SJenius    }
4644b2c87baS梁森 Liang Sen    MbistPipeline.PlaceMbistPipeline(1, s"MbistPipeIcacheDataWay${way}", hasMbist)
4654b2c87baS梁森 Liang Sen    banks
466adc7b752SJenius  }
467adc7b752SJenius
468a61a35e0Sssszwic  /**
469a61a35e0Sssszwic    ******************************************************************************
470a61a35e0Sssszwic    * read logic
471a61a35e0Sssszwic    ******************************************************************************
472a61a35e0Sssszwic    */
473415fcbe2Sxu_zh  private val masksReg = RegEnable(masks, 0.U.asTypeOf(masks), io.read(0).valid)
474415fcbe2Sxu_zh  private val readDataWithCode = (0 until ICacheDataBanks).map { bank =>
475cf7d6b7aSMuzi    Mux1H(VecInit(masksReg.map(_(bank))).asTypeOf(UInt(nWays.W)), dataArrays.map(_(bank).io.r.resp.asUInt))
476415fcbe2Sxu_zh  }
477415fcbe2Sxu_zh  private val readEntries = readDataWithCode.map(_.asTypeOf(new ICacheDataEntry()))
478415fcbe2Sxu_zh  private val readDatas   = VecInit(readEntries.map(_.data))
479415fcbe2Sxu_zh  private val readCodes   = VecInit(readEntries.map(_.code))
48019d62fa1SJenius
481b92f8445Sssszwic  // TEST: force ECC to fail by setting readCodes to 0
482b92f8445Sssszwic  if (ICacheForceDataECCError) {
483b92f8445Sssszwic    readCodes.foreach(_ := 0.U)
484c157cf71SGuokai Chen  }
485c157cf71SGuokai Chen
486a61a35e0Sssszwic  /**
487a61a35e0Sssszwic    ******************************************************************************
488a61a35e0Sssszwic    * IO
489a61a35e0Sssszwic    ******************************************************************************
490a61a35e0Sssszwic    */
491b92f8445Sssszwic  io.readResp.datas := readDatas
492b92f8445Sssszwic  io.readResp.codes := readCodes
4931d8f4dcbSJay  io.write.ready    := true.B
494b92f8445Sssszwic  io.read.foreach(_.ready := !io.write.valid)
4951d8f4dcbSJay}
4961d8f4dcbSJay
497415fcbe2Sxu_zhclass ICacheReplacerIO(implicit p: Parameters) extends ICacheBundle {
498415fcbe2Sxu_zh  val touch:  Vec[Valid[ReplacerTouch]] = Vec(PortNumber, Flipped(ValidIO(new ReplacerTouch)))
499415fcbe2Sxu_zh  val victim: ReplacerVictim            = Flipped(new ReplacerVictim)
500415fcbe2Sxu_zh}
501b92f8445Sssszwic
502415fcbe2Sxu_zhclass ICacheReplacer(implicit p: Parameters) extends ICacheModule {
503415fcbe2Sxu_zh  val io: ICacheReplacerIO = IO(new ICacheReplacerIO)
504415fcbe2Sxu_zh
505415fcbe2Sxu_zh  private val replacers =
506415fcbe2Sxu_zh    Seq.fill(PortNumber)(ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets / PortNumber))
507b92f8445Sssszwic
508b92f8445Sssszwic  // touch
509415fcbe2Sxu_zh  private val touch_sets = Seq.fill(PortNumber)(Wire(Vec(PortNumber, UInt(log2Ceil(nSets / PortNumber).W))))
510415fcbe2Sxu_zh  private val touch_ways = Seq.fill(PortNumber)(Wire(Vec(PortNumber, Valid(UInt(wayBits.W)))))
511b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
512cf7d6b7aSMuzi    touch_sets(i)(0) := Mux(
513cf7d6b7aSMuzi      io.touch(i).bits.vSetIdx(0),
514cf7d6b7aSMuzi      io.touch(1).bits.vSetIdx(highestIdxBit, 1),
515cf7d6b7aSMuzi      io.touch(0).bits.vSetIdx(highestIdxBit, 1)
516cf7d6b7aSMuzi    )
517b92f8445Sssszwic    touch_ways(i)(0).bits  := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).bits.way, io.touch(0).bits.way)
518b92f8445Sssszwic    touch_ways(i)(0).valid := Mux(io.touch(i).bits.vSetIdx(0), io.touch(1).valid, io.touch(0).valid)
519b92f8445Sssszwic  }
520b92f8445Sssszwic
521b92f8445Sssszwic  // victim
522cf7d6b7aSMuzi  io.victim.way := Mux(
523cf7d6b7aSMuzi    io.victim.vSetIdx.bits(0),
524b92f8445Sssszwic    replacers(1).way(io.victim.vSetIdx.bits(highestIdxBit, 1)),
525cf7d6b7aSMuzi    replacers(0).way(io.victim.vSetIdx.bits(highestIdxBit, 1))
526cf7d6b7aSMuzi  )
527b92f8445Sssszwic
528b92f8445Sssszwic  // touch the victim in next cycle
529415fcbe2Sxu_zh  private val victim_vSetIdx_reg =
530cf7d6b7aSMuzi    RegEnable(io.victim.vSetIdx.bits, 0.U.asTypeOf(io.victim.vSetIdx.bits), io.victim.vSetIdx.valid)
531415fcbe2Sxu_zh  private val victim_way_reg = RegEnable(io.victim.way, 0.U.asTypeOf(io.victim.way), io.victim.vSetIdx.valid)
532b92f8445Sssszwic  (0 until PortNumber).foreach { i =>
533b92f8445Sssszwic    touch_sets(i)(1)       := victim_vSetIdx_reg(highestIdxBit, 1)
534b92f8445Sssszwic    touch_ways(i)(1).bits  := victim_way_reg
535b92f8445Sssszwic    touch_ways(i)(1).valid := RegNext(io.victim.vSetIdx.valid) && (victim_vSetIdx_reg(0) === i.U)
536b92f8445Sssszwic  }
537b92f8445Sssszwic
538415fcbe2Sxu_zh  ((replacers zip touch_sets) zip touch_ways).foreach { case ((r, s), w) => r.access(s, w) }
539b92f8445Sssszwic}
540b92f8445Sssszwic
541cf7d6b7aSMuziclass ICacheIO(implicit p: Parameters) extends ICacheBundle {
542415fcbe2Sxu_zh  val hartId: UInt = Input(UInt(hartIdLen.W))
543415fcbe2Sxu_zh  // FTQ
544415fcbe2Sxu_zh  val fetch:       ICacheMainPipeBundle = new ICacheMainPipeBundle
545415fcbe2Sxu_zh  val ftqPrefetch: FtqToPrefetchIO      = Flipped(new FtqToPrefetchIO)
546415fcbe2Sxu_zh  // memblock
547415fcbe2Sxu_zh  val softPrefetch: Vec[Valid[SoftIfetchPrefetchBundle]] =
548415fcbe2Sxu_zh    Vec(backendParams.LduCnt, Flipped(Valid(new SoftIfetchPrefetchBundle)))
549415fcbe2Sxu_zh  // IFU
550415fcbe2Sxu_zh  val stop:  Bool = Input(Bool())
551415fcbe2Sxu_zh  val toIFU: Bool = Output(Bool())
552415fcbe2Sxu_zh  // PMP: mainPipe & prefetchPipe need PortNumber each
553415fcbe2Sxu_zh  val pmp: Vec[ICachePMPBundle] = Vec(2 * PortNumber, new ICachePMPBundle)
554415fcbe2Sxu_zh  // iTLB
555415fcbe2Sxu_zh  val itlb:          Vec[TlbRequestIO] = Vec(PortNumber, new TlbRequestIO)
556fad7803dSxu_zh  val itlbFlushPipe: Bool              = Bool()
557415fcbe2Sxu_zh  // backend/BEU
558415fcbe2Sxu_zh  val error: Valid[L1CacheErrorInfo] = ValidIO(new L1CacheErrorInfo)
559415fcbe2Sxu_zh  // backend/CSR
560415fcbe2Sxu_zh  val csr_pf_enable: Bool = Input(Bool())
561415fcbe2Sxu_zh  // flush
562415fcbe2Sxu_zh  val fencei: Bool = Input(Bool())
563415fcbe2Sxu_zh  val flush:  Bool = Input(Bool())
564415fcbe2Sxu_zh
565415fcbe2Sxu_zh  // perf
566415fcbe2Sxu_zh  val perfInfo: ICachePerfInfo = Output(new ICachePerfInfo)
5671d8f4dcbSJay}
5681d8f4dcbSJay
5691d8f4dcbSJayclass ICache()(implicit p: Parameters) extends LazyModule with HasICacheParameters {
57095e60e55STang Haojin  override def shouldBeInlined: Boolean = false
5711d8f4dcbSJay
572415fcbe2Sxu_zh  val clientParameters: TLMasterPortParameters = TLMasterPortParameters.v1(
5731d8f4dcbSJay    Seq(TLMasterParameters.v1(
5741d8f4dcbSJay      name = "icache",
575cf7d6b7aSMuzi      sourceId = IdRange(0, cacheParams.nFetchMshr + cacheParams.nPrefetchMshr + 1)
5761d8f4dcbSJay    )),
5771d8f4dcbSJay    requestFields = cacheParams.reqFields,
5781d8f4dcbSJay    echoFields = cacheParams.echoFields
5791d8f4dcbSJay  )
5801d8f4dcbSJay
581415fcbe2Sxu_zh  val clientNode: TLClientNode = TLClientNode(Seq(clientParameters))
5821d8f4dcbSJay
5836c106319Sxu_zh  val ctrlUnitOpt: Option[ICacheCtrlUnit] = ctrlUnitParamsOpt.map(params => LazyModule(new ICacheCtrlUnit(params)))
5846c106319Sxu_zh
585415fcbe2Sxu_zh  lazy val module: ICacheImp = new ICacheImp(this)
5861d8f4dcbSJay}
5871d8f4dcbSJay
5881ca0e4f3SYinan Xuclass ICacheImp(outer: ICache) extends LazyModuleImp(outer) with HasICacheParameters with HasPerfEvents {
589415fcbe2Sxu_zh  val io: ICacheIO = IO(new ICacheIO)
5901d8f4dcbSJay
5917052722fSJay  println("ICache:")
592b92f8445Sssszwic  println("  TagECC: " + cacheParams.tagECC)
593b92f8445Sssszwic  println("  DataECC: " + cacheParams.dataECC)
5947052722fSJay  println("  ICacheSets: " + cacheParams.nSets)
5957052722fSJay  println("  ICacheWays: " + cacheParams.nWays)
596b92f8445Sssszwic  println("  PortNumber: " + cacheParams.PortNumber)
597b92f8445Sssszwic  println("  nFetchMshr: " + cacheParams.nFetchMshr)
598b92f8445Sssszwic  println("  nPrefetchMshr: " + cacheParams.nPrefetchMshr)
599b92f8445Sssszwic  println("  nWayLookupSize: " + cacheParams.nWayLookupSize)
600b92f8445Sssszwic  println("  DataCodeUnit: " + cacheParams.DataCodeUnit)
601b92f8445Sssszwic  println("  ICacheDataBanks: " + cacheParams.ICacheDataBanks)
602b92f8445Sssszwic  println("  ICacheDataSRAMWidth: " + cacheParams.ICacheDataSRAMWidth)
6037052722fSJay
6041d8f4dcbSJay  val (bus, edge) = outer.clientNode.out.head
6051d8f4dcbSJay
606415fcbe2Sxu_zh  private val metaArray  = Module(new ICacheMetaArray)
607415fcbe2Sxu_zh  private val dataArray  = Module(new ICacheDataArray)
608415fcbe2Sxu_zh  private val mainPipe   = Module(new ICacheMainPipe)
609415fcbe2Sxu_zh  private val missUnit   = Module(new ICacheMissUnit(edge))
610415fcbe2Sxu_zh  private val replacer   = Module(new ICacheReplacer)
611415fcbe2Sxu_zh  private val prefetcher = Module(new IPrefetchPipe)
612415fcbe2Sxu_zh  private val wayLookup  = Module(new WayLookup)
6131d8f4dcbSJay
6146c106319Sxu_zh  private val ecc_enable = if (outer.ctrlUnitOpt.nonEmpty) outer.ctrlUnitOpt.get.module.io.ecc_enable else true.B
615cb6e5d3cSssszwic
6166c106319Sxu_zh  // dataArray io
6176c106319Sxu_zh  if (outer.ctrlUnitOpt.nonEmpty) {
6186c106319Sxu_zh    val ctrlUnit = outer.ctrlUnitOpt.get.module
6196c106319Sxu_zh    when(ctrlUnit.io.injecting) {
6206c106319Sxu_zh      dataArray.io.write <> ctrlUnit.io.dataWrite
6216c106319Sxu_zh      missUnit.io.data_write.ready := false.B
6226c106319Sxu_zh    }.otherwise {
6236c106319Sxu_zh      ctrlUnit.io.dataWrite.ready := false.B
6246c106319Sxu_zh      dataArray.io.write <> missUnit.io.data_write
6256c106319Sxu_zh    }
6266c106319Sxu_zh  } else {
6276c106319Sxu_zh    dataArray.io.write <> missUnit.io.data_write
6286c106319Sxu_zh  }
6296c106319Sxu_zh  dataArray.io.read <> mainPipe.io.dataArray.toIData
6306c106319Sxu_zh  mainPipe.io.dataArray.fromIData := dataArray.io.readResp
6316c106319Sxu_zh
6326c106319Sxu_zh  // metaArray io
633e39d6828Sxu_zh  metaArray.io.flushAll := io.fencei
634e39d6828Sxu_zh  metaArray.io.flush <> mainPipe.io.metaArrayFlush
6356c106319Sxu_zh  if (outer.ctrlUnitOpt.nonEmpty) {
6366c106319Sxu_zh    val ctrlUnit = outer.ctrlUnitOpt.get.module
6376c106319Sxu_zh    when(ctrlUnit.io.injecting) {
6386c106319Sxu_zh      metaArray.io.write <> ctrlUnit.io.metaWrite
6396c106319Sxu_zh      metaArray.io.read <> ctrlUnit.io.metaRead
6406c106319Sxu_zh      missUnit.io.meta_write.ready         := false.B
6416c106319Sxu_zh      prefetcher.io.metaRead.toIMeta.ready := false.B
6426c106319Sxu_zh    }.otherwise {
6436c106319Sxu_zh      ctrlUnit.io.metaWrite.ready := false.B
6446c106319Sxu_zh      ctrlUnit.io.metaRead.ready  := false.B
645b92f8445Sssszwic      metaArray.io.write <> missUnit.io.meta_write
646b92f8445Sssszwic      metaArray.io.read <> prefetcher.io.metaRead.toIMeta
6476c106319Sxu_zh    }
6486c106319Sxu_zh    ctrlUnit.io.metaReadResp := metaArray.io.readResp
6496c106319Sxu_zh  } else {
6506c106319Sxu_zh    metaArray.io.write <> missUnit.io.meta_write
6516c106319Sxu_zh    metaArray.io.read <> prefetcher.io.metaRead.toIMeta
6526c106319Sxu_zh  }
6536c106319Sxu_zh  prefetcher.io.metaRead.fromIMeta := metaArray.io.readResp
654cb6e5d3cSssszwic
655b92f8445Sssszwic  prefetcher.io.flush         := io.flush
656b92f8445Sssszwic  prefetcher.io.csr_pf_enable := io.csr_pf_enable
6576c106319Sxu_zh  prefetcher.io.ecc_enable    := ecc_enable
658b92f8445Sssszwic  prefetcher.io.MSHRResp      := missUnit.io.fetch_resp
6592c9f4a9fSxu_zh  prefetcher.io.flushFromBpu  := io.ftqPrefetch.flushFromBpu
6602c9f4a9fSxu_zh  // cache softPrefetch
6612c9f4a9fSxu_zh  private val softPrefetchValid = RegInit(false.B)
6622c9f4a9fSxu_zh  private val softPrefetch      = RegInit(0.U.asTypeOf(new IPrefetchReq))
6632c9f4a9fSxu_zh  /* FIXME:
6642c9f4a9fSxu_zh   * If there is already a pending softPrefetch request, it will be overwritten.
6652c9f4a9fSxu_zh   * Also, if there are multiple softPrefetch requests in the same cycle, only the first one will be accepted.
6662c9f4a9fSxu_zh   * We should implement a softPrefetchQueue (like ibuffer, multi-in, single-out) to solve this.
6672c9f4a9fSxu_zh   * However, the impact on performance still needs to be assessed.
6682c9f4a9fSxu_zh   * Considering that the frequency of prefetch.i may not be high, let's start with a temporary dummy solution.
6692c9f4a9fSxu_zh   */
6702c9f4a9fSxu_zh  when(io.softPrefetch.map(_.valid).reduce(_ || _)) {
6712c9f4a9fSxu_zh    softPrefetchValid := true.B
6722c9f4a9fSxu_zh    softPrefetch.fromSoftPrefetch(MuxCase(
6732c9f4a9fSxu_zh      0.U.asTypeOf(new SoftIfetchPrefetchBundle),
674cf7d6b7aSMuzi      io.softPrefetch.map(req => req.valid -> req.bits)
6752c9f4a9fSxu_zh    ))
6762c9f4a9fSxu_zh  }.elsewhen(prefetcher.io.req.fire) {
6772c9f4a9fSxu_zh    softPrefetchValid := false.B
6782c9f4a9fSxu_zh  }
6792c9f4a9fSxu_zh  // pass ftqPrefetch
6802c9f4a9fSxu_zh  private val ftqPrefetch = WireInit(0.U.asTypeOf(new IPrefetchReq))
6812c9f4a9fSxu_zh  ftqPrefetch.fromFtqICacheInfo(io.ftqPrefetch.req.bits)
6822c9f4a9fSxu_zh  // software prefetch has higher priority
6832c9f4a9fSxu_zh  prefetcher.io.req.valid                 := softPrefetchValid || io.ftqPrefetch.req.valid
6842c9f4a9fSxu_zh  prefetcher.io.req.bits                  := Mux(softPrefetchValid, softPrefetch, ftqPrefetch)
685fbdb359dSMuzi  prefetcher.io.req.bits.backendException := io.ftqPrefetch.backendException
6862c9f4a9fSxu_zh  io.ftqPrefetch.req.ready                := prefetcher.io.req.ready && !softPrefetchValid
687fd16c454SJenius
688b92f8445Sssszwic  missUnit.io.hartId := io.hartId
689b92f8445Sssszwic  missUnit.io.fencei := io.fencei
690b92f8445Sssszwic  missUnit.io.flush  := io.flush
691b92f8445Sssszwic  missUnit.io.fetch_req <> mainPipe.io.mshr.req
692b92f8445Sssszwic  missUnit.io.prefetch_req <> prefetcher.io.MSHRReq
693b92f8445Sssszwic  missUnit.io.mem_grant.valid := false.B
694b92f8445Sssszwic  missUnit.io.mem_grant.bits  := DontCare
695b92f8445Sssszwic  missUnit.io.mem_grant <> bus.d
696b92f8445Sssszwic
697b92f8445Sssszwic  mainPipe.io.flush      := io.flush
698cb6e5d3cSssszwic  mainPipe.io.respStall  := io.stop
6996c106319Sxu_zh  mainPipe.io.ecc_enable := ecc_enable
700cb6e5d3cSssszwic  mainPipe.io.hartId     := io.hartId
701b92f8445Sssszwic  mainPipe.io.mshr.resp  := missUnit.io.fetch_resp
702b92f8445Sssszwic  mainPipe.io.fetch.req <> io.fetch.req
703b92f8445Sssszwic  mainPipe.io.wayLookupRead <> wayLookup.io.read
704b92f8445Sssszwic
705b92f8445Sssszwic  wayLookup.io.flush := io.flush
706b92f8445Sssszwic  wayLookup.io.write <> prefetcher.io.wayLookupWrite
707b92f8445Sssszwic  wayLookup.io.update := missUnit.io.fetch_resp
708b92f8445Sssszwic
709b92f8445Sssszwic  replacer.io.touch <> mainPipe.io.touch
710b92f8445Sssszwic  replacer.io.victim <> missUnit.io.victim
7117052722fSJay
71261e1db30SJay  io.pmp(0) <> mainPipe.io.pmp(0)
71361e1db30SJay  io.pmp(1) <> mainPipe.io.pmp(1)
714b92f8445Sssszwic  io.pmp(2) <> prefetcher.io.pmp(0)
715b92f8445Sssszwic  io.pmp(3) <> prefetcher.io.pmp(1)
7167052722fSJay
717b92f8445Sssszwic  io.itlb(0) <> prefetcher.io.itlb(0)
718b92f8445Sssszwic  io.itlb(1) <> prefetcher.io.itlb(1)
719fad7803dSxu_zh  io.itlbFlushPipe := prefetcher.io.itlbFlushPipe
7207052722fSJay
721cb6e5d3cSssszwic  // notify IFU that Icache pipeline is available
722cb6e5d3cSssszwic  io.toIFU    := mainPipe.io.fetch.req.ready
723cb6e5d3cSssszwic  io.perfInfo := mainPipe.io.perfInfo
7241d8f4dcbSJay
725c5c5edaeSJenius  io.fetch.resp <> mainPipe.io.fetch.resp
726d2b20d1aSTang Haojin  io.fetch.topdownIcacheMiss := mainPipe.io.fetch.topdownIcacheMiss
727d2b20d1aSTang Haojin  io.fetch.topdownItlbMiss   := mainPipe.io.fetch.topdownItlbMiss
728c5c5edaeSJenius
7291d8f4dcbSJay  bus.b.ready := false.B
7301d8f4dcbSJay  bus.c.valid := false.B
7311d8f4dcbSJay  bus.c.bits  := DontCare
7321d8f4dcbSJay  bus.e.valid := false.B
7331d8f4dcbSJay  bus.e.bits  := DontCare
7341d8f4dcbSJay
7351d8f4dcbSJay  bus.a <> missUnit.io.mem_acquire
7361d8f4dcbSJay
73758dbdfc2SJay  // Parity error port
738415fcbe2Sxu_zh  private val errors       = mainPipe.io.errors
739415fcbe2Sxu_zh  private val errors_valid = errors.map(e => e.valid).reduce(_ | _)
740b3c35820Sxu_zh  io.error.bits <> RegEnable(
741b3c35820Sxu_zh    PriorityMux(errors.map(e => e.valid -> e.bits)),
742b3c35820Sxu_zh    0.U.asTypeOf(errors(0).bits),
743b3c35820Sxu_zh    errors_valid
744b3c35820Sxu_zh  )
745b92f8445Sssszwic  io.error.valid := RegNext(errors_valid, false.B)
7462a6078bfSguohongyu
747cf7d6b7aSMuzi  XSPerfAccumulate(
748cf7d6b7aSMuzi    "softPrefetch_drop_not_ready",
749cf7d6b7aSMuzi    io.softPrefetch.map(_.valid).reduce(_ || _) && softPrefetchValid && !prefetcher.io.req.fire
750cf7d6b7aSMuzi  )
7512c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_drop_multi_req", PopCount(io.softPrefetch.map(_.valid)) > 1.U)
7522c9f4a9fSxu_zh  XSPerfAccumulate("softPrefetch_block_ftq", softPrefetchValid && io.ftqPrefetch.req.valid)
7532c9f4a9fSxu_zh
754415fcbe2Sxu_zh  val perfEvents: Seq[(String, Bool)] = Seq(
7551d8f4dcbSJay    ("icache_miss_cnt  ", false.B),
756cf7d6b7aSMuzi    ("icache_miss_penalty", BoolStopWatch(start = false.B, stop = false.B || false.B, startHighPriority = true))
7571d8f4dcbSJay  )
7581ca0e4f3SYinan Xu  generatePerfEvent()
759adc7b752SJenius}
760adc7b752SJenius
761415fcbe2Sxu_zh//class ICachePartWayReadBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
762415fcbe2Sxu_zh//    extends ICacheBundle {
763415fcbe2Sxu_zh//  val req = Flipped(Vec(
764415fcbe2Sxu_zh//    PortNumber,
765415fcbe2Sxu_zh//    Decoupled(new Bundle {
766415fcbe2Sxu_zh//      val ridx = UInt((log2Ceil(nSets) - 1).W)
767415fcbe2Sxu_zh//    })
768415fcbe2Sxu_zh//  ))
769415fcbe2Sxu_zh//  val resp = Output(new Bundle {
770415fcbe2Sxu_zh//    val rdata = Vec(PortNumber, Vec(pWay, gen))
771415fcbe2Sxu_zh//  })
772415fcbe2Sxu_zh//}
773adc7b752SJenius
774415fcbe2Sxu_zh//class ICacheWriteBundle[T <: Data](gen: T, pWay: Int)(implicit p: Parameters)
775415fcbe2Sxu_zh//    extends ICacheBundle {
776415fcbe2Sxu_zh//  val wdata    = gen
777415fcbe2Sxu_zh//  val widx     = UInt((log2Ceil(nSets) - 1).W)
778415fcbe2Sxu_zh//  val wbankidx = Bool()
779415fcbe2Sxu_zh//  val wmask    = Vec(pWay, Bool())
780415fcbe2Sxu_zh//}
781adc7b752SJenius
782415fcbe2Sxu_zh//class ICachePartWayArray[T <: Data](gen: T, pWay: Int)(implicit p: Parameters) extends ICacheArray {
783415fcbe2Sxu_zh//
784415fcbe2Sxu_zh//  // including part way data
785415fcbe2Sxu_zh//  val io = IO {
786415fcbe2Sxu_zh//    new Bundle {
787415fcbe2Sxu_zh//      val read  = new ICachePartWayReadBundle(gen, pWay)
788415fcbe2Sxu_zh//      val write = Flipped(ValidIO(new ICacheWriteBundle(gen, pWay)))
789415fcbe2Sxu_zh//    }
790415fcbe2Sxu_zh//  }
791415fcbe2Sxu_zh//
792415fcbe2Sxu_zh//  io.read.req.map(_.ready := !io.write.valid)
793415fcbe2Sxu_zh//
794415fcbe2Sxu_zh//  val srams = (0 until PortNumber) map { bank =>
795415fcbe2Sxu_zh//    val sramBank = Module(new SRAMTemplate(
796415fcbe2Sxu_zh//      gen,
797415fcbe2Sxu_zh//      set = nSets / 2,
798415fcbe2Sxu_zh//      way = pWay,
799415fcbe2Sxu_zh//      shouldReset = true,
800415fcbe2Sxu_zh//      holdRead = true,
801415fcbe2Sxu_zh//      singlePort = true,
802415fcbe2Sxu_zh//      withClockGate = true
803415fcbe2Sxu_zh//    ))
804415fcbe2Sxu_zh//
805415fcbe2Sxu_zh//    sramBank.io.r.req.valid := io.read.req(bank).valid
806415fcbe2Sxu_zh//    sramBank.io.r.req.bits.apply(setIdx = io.read.req(bank).bits.ridx)
807415fcbe2Sxu_zh//
808415fcbe2Sxu_zh//    if (bank == 0) sramBank.io.w.req.valid := io.write.valid && !io.write.bits.wbankidx
809415fcbe2Sxu_zh//    else sramBank.io.w.req.valid           := io.write.valid && io.write.bits.wbankidx
810415fcbe2Sxu_zh//    sramBank.io.w.req.bits.apply(
811415fcbe2Sxu_zh//      data = io.write.bits.wdata,
812415fcbe2Sxu_zh//      setIdx = io.write.bits.widx,
813415fcbe2Sxu_zh//      waymask = io.write.bits.wmask.asUInt
814415fcbe2Sxu_zh//    )
815415fcbe2Sxu_zh//
816415fcbe2Sxu_zh//    sramBank
817415fcbe2Sxu_zh//  }
818415fcbe2Sxu_zh//
819415fcbe2Sxu_zh//  io.read.req.map(_.ready := !io.write.valid && srams.map(_.io.r.req.ready).reduce(_ && _))
820415fcbe2Sxu_zh//
821415fcbe2Sxu_zh//  io.read.resp.rdata := VecInit(srams.map(bank => bank.io.r.resp.asTypeOf(Vec(pWay, gen))))
822415fcbe2Sxu_zh//
823415fcbe2Sxu_zh//}
824adc7b752SJenius
825415fcbe2Sxu_zhclass SRAMTemplateWithFixedWidthIO[T <: Data](gen: T, set: Int, way: Int) extends Bundle {
826415fcbe2Sxu_zh  val r: SRAMReadBus[T]  = Flipped(new SRAMReadBus(gen, set, way))
827415fcbe2Sxu_zh  val w: SRAMWriteBus[T] = Flipped(new SRAMWriteBus(gen, set, way))
8281d8f4dcbSJay}
829b92f8445Sssszwic
830b92f8445Sssszwic// Automatically partition the SRAM based on the width of the data and the desired width.
831b92f8445Sssszwic// final SRAM width = width * way
832cf7d6b7aSMuziclass SRAMTemplateWithFixedWidth[T <: Data](
833cf7d6b7aSMuzi    gen:           T,
834cf7d6b7aSMuzi    set:           Int,
835cf7d6b7aSMuzi    width:         Int,
836cf7d6b7aSMuzi    way:           Int = 1,
837cf7d6b7aSMuzi    shouldReset:   Boolean = false,
838cf7d6b7aSMuzi    holdRead:      Boolean = false,
839cf7d6b7aSMuzi    singlePort:    Boolean = false,
84039d55402Spengxiao    bypassWrite:   Boolean = false,
8414b2c87baS梁森 Liang Sen    withClockGate: Boolean = false,
842*30f35717Scz4e    hasMbist:      Boolean = false,
843*30f35717Scz4e    hasSramCtl:    Boolean = false
844b92f8445Sssszwic) extends Module {
845b92f8445Sssszwic
846415fcbe2Sxu_zh  private val dataBits  = gen.getWidth
847415fcbe2Sxu_zh  private val bankNum   = math.ceil(dataBits.toDouble / width.toDouble).toInt
848415fcbe2Sxu_zh  private val totalBits = bankNum * width
849b92f8445Sssszwic
850415fcbe2Sxu_zh  val io: SRAMTemplateWithFixedWidthIO[T] = IO(new SRAMTemplateWithFixedWidthIO(gen, set, way))
851b92f8445Sssszwic
852415fcbe2Sxu_zh  private val wordType = UInt(width.W)
853415fcbe2Sxu_zh  private val writeDatas = (0 until bankNum).map { bank =>
854415fcbe2Sxu_zh    VecInit((0 until way).map { i =>
855b92f8445Sssszwic      io.w.req.bits.data(i).asTypeOf(UInt(totalBits.W)).asTypeOf(Vec(bankNum, wordType))(bank)
856415fcbe2Sxu_zh    })
857415fcbe2Sxu_zh  }
858b92f8445Sssszwic
859415fcbe2Sxu_zh  private val srams = (0 until bankNum) map { bank =>
860b92f8445Sssszwic    val sramBank = Module(new SRAMTemplate(
861b92f8445Sssszwic      wordType,
862b92f8445Sssszwic      set = set,
863b92f8445Sssszwic      way = way,
864b92f8445Sssszwic      shouldReset = shouldReset,
865b92f8445Sssszwic      holdRead = holdRead,
866b92f8445Sssszwic      singlePort = singlePort,
86739d55402Spengxiao      bypassWrite = bypassWrite,
8684b2c87baS梁森 Liang Sen      withClockGate = withClockGate,
869*30f35717Scz4e      hasMbist = hasMbist,
870*30f35717Scz4e      hasSramCtl = hasSramCtl
871b92f8445Sssszwic    ))
872b92f8445Sssszwic    // read req
873b92f8445Sssszwic    sramBank.io.r.req.valid       := io.r.req.valid
874b92f8445Sssszwic    sramBank.io.r.req.bits.setIdx := io.r.req.bits.setIdx
875b92f8445Sssszwic
876b92f8445Sssszwic    // write req
877b92f8445Sssszwic    sramBank.io.w.req.valid       := io.w.req.valid
878b92f8445Sssszwic    sramBank.io.w.req.bits.setIdx := io.w.req.bits.setIdx
879b92f8445Sssszwic    sramBank.io.w.req.bits.data   := writeDatas(bank)
880415fcbe2Sxu_zh    sramBank.io.w.req.bits.waymask.foreach(_ := io.w.req.bits.waymask.get)
881b92f8445Sssszwic
882b92f8445Sssszwic    sramBank
883b92f8445Sssszwic  }
884b92f8445Sssszwic
885b92f8445Sssszwic  io.r.req.ready := !io.w.req.valid
886b92f8445Sssszwic  (0 until way).foreach { i =>
887b92f8445Sssszwic    io.r.resp.data(i) := VecInit((0 until bankNum).map(bank =>
888b92f8445Sssszwic      srams(bank).io.r.resp.data(i)
889b92f8445Sssszwic    )).asTypeOf(UInt(totalBits.W))(dataBits - 1, 0).asTypeOf(gen.cloneType)
890b92f8445Sssszwic  }
891b92f8445Sssszwic
892b92f8445Sssszwic  io.r.req.ready := srams.head.io.r.req.ready
893b92f8445Sssszwic  io.w.req.ready := srams.head.io.w.req.ready
894b92f8445Sssszwic}
895