1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.mem 18 19import org.chipsalliance.cde.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import freechips.rocketchip.diplomacy._ 23import freechips.rocketchip.diplomacy.{BundleBridgeSource, LazyModule, LazyModuleImp} 24import freechips.rocketchip.interrupts.{IntSinkNode, IntSinkPortSimple} 25import freechips.rocketchip.tile.HasFPUParameters 26import freechips.rocketchip.tilelink._ 27import utils._ 28import utility._ 29import utility.mbist.{MbistInterface, MbistPipeline} 30import utility.sram.{SramBroadcastBundle, SramHelper} 31import system.{HasSoCParameter, SoCParamsKey} 32import xiangshan._ 33import xiangshan.ExceptionNO._ 34import xiangshan.frontend.HasInstrMMIOConst 35import xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput} 36import xiangshan.backend.ctrlblock.{DebugLSIO, LsTopdownInfo} 37import xiangshan.backend.exu.MemExeUnit 38import xiangshan.backend.fu._ 39import xiangshan.backend.fu.FuType._ 40import xiangshan.backend.fu.NewCSR.{CsrTriggerBundle, TriggerUtil, PFEvent} 41import xiangshan.backend.fu.util.{CSRConst, SdtrigExt} 42import xiangshan.backend.{BackendToTopBundle, TopToBackendBundle} 43import xiangshan.backend.rob.{RobDebugRollingIO, RobPtr, RobLsqIO} 44import xiangshan.backend.datapath.NewPipelineConnect 45import xiangshan.backend.trace.{Itype, TraceCoreInterface} 46import xiangshan.backend.Bundles._ 47import xiangshan.mem._ 48import xiangshan.mem.mdp._ 49import xiangshan.mem.Bundles._ 50import xiangshan.mem.prefetch.{BasePrefecher, L1Prefetcher, SMSParams, SMSPrefetcher} 51import xiangshan.cache._ 52import xiangshan.cache.mmu._ 53import coupledL2.PrefetchRecv 54import utility.mbist.{MbistInterface, MbistPipeline} 55import utility.sram.{SramBroadcastBundle, SramHelper} 56 57trait HasMemBlockParameters extends HasXSParameter { 58 // number of memory units 59 val LduCnt = backendParams.LduCnt 60 val StaCnt = backendParams.StaCnt 61 val StdCnt = backendParams.StdCnt 62 val HyuCnt = backendParams.HyuCnt 63 val VlduCnt = backendParams.VlduCnt 64 val VstuCnt = backendParams.VstuCnt 65 66 val LdExuCnt = LduCnt + HyuCnt 67 val StAddrCnt = StaCnt + HyuCnt 68 val StDataCnt = StdCnt 69 val MemExuCnt = LduCnt + HyuCnt + StaCnt + StdCnt 70 val MemAddrExtCnt = LdExuCnt + StaCnt 71 val MemVExuCnt = VlduCnt + VstuCnt 72 73 val AtomicWBPort = 0 74 val MisalignWBPort = 1 75 val UncacheWBPort = 2 76 val NCWBPorts = Seq(1, 2) 77} 78 79abstract class MemBlockBundle(implicit val p: Parameters) extends Bundle with HasMemBlockParameters 80 81class Std(cfg: FuConfig)(implicit p: Parameters) extends FuncUnit(cfg) { 82 io.in.ready := io.out.ready 83 io.out.valid := io.in.valid 84 io.out.bits := 0.U.asTypeOf(io.out.bits) 85 io.out.bits.res.data := io.in.bits.data.src(0) 86 io.out.bits.ctrl.robIdx := io.in.bits.ctrl.robIdx 87} 88 89class ooo_to_mem(implicit p: Parameters) extends MemBlockBundle { 90 val backendToTopBypass = Flipped(new BackendToTopBundle) 91 92 val loadFastMatch = Vec(LdExuCnt, Input(UInt(LdExuCnt.W))) 93 val loadFastFuOpType = Vec(LdExuCnt, Input(FuOpType())) 94 val loadFastImm = Vec(LdExuCnt, Input(UInt(12.W))) 95 val sfence = Input(new SfenceBundle) 96 val tlbCsr = Input(new TlbCsrBundle) 97 val lsqio = new Bundle { 98 val lcommit = Input(UInt(log2Up(CommitWidth + 1).W)) 99 val scommit = Input(UInt(log2Up(CommitWidth + 1).W)) 100 val pendingMMIOld = Input(Bool()) 101 val pendingld = Input(Bool()) 102 val pendingst = Input(Bool()) 103 val pendingVst = Input(Bool()) 104 val commit = Input(Bool()) 105 val pendingPtr = Input(new RobPtr) 106 val pendingPtrNext = Input(new RobPtr) 107 } 108 109 val isStoreException = Input(Bool()) 110 val isVlsException = Input(Bool()) 111 val csrCtrl = Flipped(new CustomCSRCtrlIO) 112 val enqLsq = new LsqEnqIO 113 val flushSb = Input(Bool()) 114 115 val storePc = Vec(StaCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 116 val hybridPc = Vec(HyuCnt, Input(UInt(VAddrBits.W))) // for hw prefetch 117 118 val issueLda = MixedVec(Seq.fill(LduCnt)(Flipped(DecoupledIO(new MemExuInput)))) 119 val issueSta = MixedVec(Seq.fill(StaCnt)(Flipped(DecoupledIO(new MemExuInput)))) 120 val issueStd = MixedVec(Seq.fill(StdCnt)(Flipped(DecoupledIO(new MemExuInput)))) 121 val issueHya = MixedVec(Seq.fill(HyuCnt)(Flipped(DecoupledIO(new MemExuInput)))) 122 val issueVldu = MixedVec(Seq.fill(VlduCnt)(Flipped(DecoupledIO(new MemExuInput(isVector=true))))) 123 124 def issueUops = issueLda ++ issueSta ++ issueStd ++ issueHya ++ issueVldu 125} 126 127class mem_to_ooo(implicit p: Parameters) extends MemBlockBundle { 128 val topToBackendBypass = new TopToBackendBundle 129 130 val otherFastWakeup = Vec(LdExuCnt, ValidIO(new DynInst)) 131 val lqCancelCnt = Output(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 132 val sqCancelCnt = Output(UInt(log2Up(StoreQueueSize + 1).W)) 133 val sqDeq = Output(UInt(log2Ceil(EnsbufferWidth + 1).W)) 134 val lqDeq = Output(UInt(log2Up(CommitWidth + 1).W)) 135 // used by VLSU issue queue, the vector store would wait all store before it, and the vector load would wait all load 136 val sqDeqPtr = Output(new SqPtr) 137 val lqDeqPtr = Output(new LqPtr) 138 val stIn = Vec(StAddrCnt, ValidIO(new MemExuInput)) 139 val stIssuePtr = Output(new SqPtr()) 140 141 val memoryViolation = ValidIO(new Redirect) 142 val sbIsEmpty = Output(Bool()) 143 144 val lsTopdownInfo = Vec(LdExuCnt, Output(new LsTopdownInfo)) 145 146 val lsqio = new Bundle { 147 val vaddr = Output(UInt(XLEN.W)) 148 val vstart = Output(UInt((log2Up(VLEN) + 1).W)) 149 val vl = Output(UInt((log2Up(VLEN) + 1).W)) 150 val gpaddr = Output(UInt(XLEN.W)) 151 val isForVSnonLeafPTE = Output(Bool()) 152 val mmio = Output(Vec(LoadPipelineWidth, Bool())) 153 val uop = Output(Vec(LoadPipelineWidth, new DynInst)) 154 val lqCanAccept = Output(Bool()) 155 val sqCanAccept = Output(Bool()) 156 } 157 158 val storeDebugInfo = Vec(EnsbufferWidth, new Bundle { 159 val robidx = Output(new RobPtr) 160 val pc = Input(UInt(VAddrBits.W)) 161 }) 162 163 val writebackLda = Vec(LduCnt, DecoupledIO(new MemExuOutput)) 164 val writebackSta = Vec(StaCnt, DecoupledIO(new MemExuOutput)) 165 val writebackStd = Vec(StdCnt, DecoupledIO(new MemExuOutput)) 166 val writebackHyuLda = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 167 val writebackHyuSta = Vec(HyuCnt, DecoupledIO(new MemExuOutput)) 168 val writebackVldu = Vec(VlduCnt, DecoupledIO(new MemExuOutput(isVector = true))) 169 def writeBack: Seq[DecoupledIO[MemExuOutput]] = { 170 writebackSta ++ 171 writebackHyuLda ++ writebackHyuSta ++ 172 writebackLda ++ 173 writebackVldu ++ 174 writebackStd 175 } 176 177 val ldaIqFeedback = Vec(LduCnt, new MemRSFeedbackIO) 178 val staIqFeedback = Vec(StaCnt, new MemRSFeedbackIO) 179 val hyuIqFeedback = Vec(HyuCnt, new MemRSFeedbackIO) 180 val vstuIqFeedback= Vec(VstuCnt, new MemRSFeedbackIO(isVector = true)) 181 val vlduIqFeedback= Vec(VlduCnt, new MemRSFeedbackIO(isVector = true)) 182 val ldCancel = Vec(backendParams.LdExuCnt, new LoadCancelIO) 183 val wakeup = Vec(backendParams.LdExuCnt, Valid(new DynInst)) 184 185 val s3_delayed_load_error = Vec(LdExuCnt, Output(Bool())) 186} 187 188class MemCoreTopDownIO extends Bundle { 189 val robHeadMissInDCache = Output(Bool()) 190 val robHeadTlbReplay = Output(Bool()) 191 val robHeadTlbMiss = Output(Bool()) 192 val robHeadLoadVio = Output(Bool()) 193 val robHeadLoadMSHR = Output(Bool()) 194} 195 196class fetch_to_mem(implicit p: Parameters) extends XSBundle{ 197 val itlb = Flipped(new TlbPtwIO()) 198} 199 200// triple buffer applied in i-mmio path (two at MemBlock, one at L2Top) 201class InstrUncacheBuffer()(implicit p: Parameters) extends LazyModule with HasInstrMMIOConst { 202 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 203 lazy val module = new InstrUncacheBufferImpl 204 205 class InstrUncacheBufferImpl extends LazyModuleImp(this) { 206 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 207 out.a <> BufferParams.default(BufferParams.default(in.a)) 208 in.d <> BufferParams.default(BufferParams.default(out.d)) 209 210 // only a.valid, a.ready, a.address can change 211 // hoping that the rest would be optimized to keep MemBlock port unchanged after adding buffer 212 out.a.bits.data := 0.U 213 out.a.bits.mask := Fill(mmioBusBytes, 1.U(1.W)) 214 out.a.bits.opcode := 4.U // Get 215 out.a.bits.size := log2Ceil(mmioBusBytes).U 216 out.a.bits.source := 0.U 217 } 218 } 219} 220 221// triple buffer applied in L1I$-L2 path (two at MemBlock, one at L2Top) 222class ICacheBuffer()(implicit p: Parameters) extends LazyModule { 223 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 224 lazy val module = new ICacheBufferImpl 225 226 class ICacheBufferImpl extends LazyModuleImp(this) { 227 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 228 out.a <> BufferParams.default(BufferParams.default(in.a)) 229 in.d <> BufferParams.default(BufferParams.default(out.d)) 230 } 231 } 232} 233 234class ICacheCtrlBuffer()(implicit p: Parameters) extends LazyModule { 235 val node = new TLBufferNode(BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default, BufferParams.default) 236 lazy val module = new ICacheCtrlBufferImpl 237 238 class ICacheCtrlBufferImpl extends LazyModuleImp(this) { 239 (node.in zip node.out) foreach { case ((in, edgeIn), (out, edgeOut)) => 240 out.a <> BufferParams.default(BufferParams.default(in.a)) 241 in.d <> BufferParams.default(BufferParams.default(out.d)) 242 } 243 } 244} 245 246// Frontend bus goes through MemBlock 247class FrontendBridge()(implicit p: Parameters) extends LazyModule { 248 val icache_node = LazyModule(new ICacheBuffer()).suggestName("icache").node// to keep IO port name 249 val icachectrl_node = LazyModule(new ICacheCtrlBuffer()).suggestName("icachectrl").node 250 val instr_uncache_node = LazyModule(new InstrUncacheBuffer()).suggestName("instr_uncache").node 251 lazy val module = new LazyModuleImp(this) { 252 } 253} 254 255class MemBlockInlined()(implicit p: Parameters) extends LazyModule 256 with HasXSParameter { 257 override def shouldBeInlined: Boolean = true 258 259 val dcache = LazyModule(new DCacheWrapper()) 260 val uncache = LazyModule(new Uncache()) 261 val uncache_port = TLTempNode() 262 val uncache_xbar = TLXbar() 263 val ptw = LazyModule(new L2TLBWrapper()) 264 val ptw_to_l2_buffer = if (!coreParams.softPTW) LazyModule(new TLBuffer) else null 265 val l1d_to_l2_buffer = if (coreParams.dcacheParametersOpt.nonEmpty) LazyModule(new TLBuffer) else null 266 val dcache_port = TLNameNode("dcache_client") // to keep dcache-L2 port name 267 val l2_pf_sender_opt = coreParams.prefetcher.map(_ => 268 BundleBridgeSource(() => new PrefetchRecv) 269 ) 270 val l3_pf_sender_opt = if (p(SoCParamsKey).L3CacheParamsOpt.nonEmpty) coreParams.prefetcher.map(_ => 271 BundleBridgeSource(() => new huancun.PrefetchRecv) 272 ) else None 273 val frontendBridge = LazyModule(new FrontendBridge) 274 // interrupt sinks 275 val clint_int_sink = IntSinkNode(IntSinkPortSimple(1, 2)) 276 val debug_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 277 val plic_int_sink = IntSinkNode(IntSinkPortSimple(2, 1)) 278 val nmi_int_sink = IntSinkNode(IntSinkPortSimple(1, (new NonmaskableInterruptIO).elements.size)) 279 val beu_local_int_sink = IntSinkNode(IntSinkPortSimple(1, 1)) 280 281 if (!coreParams.softPTW) { 282 ptw_to_l2_buffer.node := ptw.node 283 } 284 uncache_xbar := TLBuffer() := uncache.clientNode 285 if (dcache.uncacheNode.isDefined) { 286 dcache.uncacheNode.get := TLBuffer.chainNode(2) := uncache_xbar 287 } 288 uncache_port := TLBuffer.chainNode(2) := uncache_xbar 289 290 lazy val module = new MemBlockInlinedImp(this) 291} 292 293class MemBlockInlinedImp(outer: MemBlockInlined) extends LazyModuleImp(outer) 294 with HasXSParameter 295 with HasFPUParameters 296 with HasPerfEvents 297 with HasSoCParameter 298 with HasL1PrefetchSourceParameter 299 with HasCircularQueuePtrHelper 300 with HasMemBlockParameters 301 with HasTlbConst 302 with SdtrigExt 303{ 304 val io = IO(new Bundle { 305 val hartId = Input(UInt(hartIdLen.W)) 306 val redirect = Flipped(ValidIO(new Redirect)) 307 308 val ooo_to_mem = new ooo_to_mem 309 val mem_to_ooo = new mem_to_ooo 310 val fetch_to_mem = new fetch_to_mem 311 312 val ifetchPrefetch = Vec(LduCnt, ValidIO(new SoftIfetchPrefetchBundle)) 313 314 // misc 315 val error = ValidIO(new L1CacheErrorInfo) 316 val memInfo = new Bundle { 317 val sqFull = Output(Bool()) 318 val lqFull = Output(Bool()) 319 val dcacheMSHRFull = Output(Bool()) 320 } 321 val debug_ls = new DebugLSIO 322 val l2_hint = Input(Valid(new L2ToL1Hint())) 323 val l2PfqBusy = Input(Bool()) 324 val l2_tlb_req = Flipped(new TlbRequestIO(nRespDups = 2)) 325 val l2_pmp_resp = new PMPRespBundle 326 val l2_flush_done = Input(Bool()) 327 328 val debugTopDown = new Bundle { 329 val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 330 val toCore = new MemCoreTopDownIO 331 } 332 val debugRolling = Flipped(new RobDebugRollingIO) 333 334 // All the signals from/to frontend/backend to/from bus will go through MemBlock 335 val fromTopToBackend = Input(new Bundle { 336 val msiInfo = ValidIO(UInt(soc.IMSICParams.MSI_INFO_WIDTH.W)) 337 val clintTime = ValidIO(UInt(64.W)) 338 }) 339 val inner_hartId = Output(UInt(hartIdLen.W)) 340 val inner_reset_vector = Output(UInt(PAddrBits.W)) 341 val outer_reset_vector = Input(UInt(PAddrBits.W)) 342 val outer_cpu_halt = Output(Bool()) 343 val outer_l2_flush_en = Output(Bool()) 344 val outer_power_down_en = Output(Bool()) 345 val outer_cpu_critical_error = Output(Bool()) 346 val outer_msi_ack = Output(Bool()) 347 val inner_beu_errors_icache = Input(new L1BusErrorUnitInfo) 348 val outer_beu_errors_icache = Output(new L1BusErrorUnitInfo) 349 val inner_hc_perfEvents = Output(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 350 val outer_hc_perfEvents = Input(Vec(numPCntHc * coreParams.L2NBanks + 1, new PerfEvent)) 351 352 // reset signals of frontend & backend are generated in memblock 353 val reset_backend = Output(Reset()) 354 // Reset singal from frontend. 355 val resetInFrontendBypass = new Bundle{ 356 val fromFrontend = Input(Bool()) 357 val toL2Top = Output(Bool()) 358 } 359 val traceCoreInterfaceBypass = new Bundle{ 360 val fromBackend = Flipped(new TraceCoreInterface(hasOffset = true)) 361 val toL2Top = new TraceCoreInterface 362 } 363 364 val topDownInfo = new Bundle { 365 val fromL2Top = Input(new TopDownFromL2Top) 366 val toBackend = Flipped(new TopDownInfo) 367 } 368 val dft = Option.when(hasDFT)(Input(new SramBroadcastBundle)) 369 val dft_reset = Option.when(hasMbist)(Input(new DFTResetSignals())) 370 val dft_frnt = Option.when(hasDFT)(Output(new SramBroadcastBundle)) 371 val dft_reset_frnt = Option.when(hasMbist)(Output(new DFTResetSignals())) 372 val dft_bcknd = Option.when(hasDFT)(Output(new SramBroadcastBundle)) 373 val dft_reset_bcknd = Option.when(hasMbist)(Output(new DFTResetSignals())) 374 }) 375 376 io.mem_to_ooo.writeBack.zipWithIndex.foreach{ case (wb, i) => 377 PerfCCT.updateInstPos(wb.bits.uop.debug_seqNum, PerfCCT.InstPos.AtBypassVal.id.U, wb.valid, clock, reset) 378 } 379 380 dontTouch(io.inner_hartId) 381 dontTouch(io.inner_reset_vector) 382 dontTouch(io.outer_reset_vector) 383 dontTouch(io.outer_cpu_halt) 384 dontTouch(io.outer_l2_flush_en) 385 dontTouch(io.outer_power_down_en) 386 dontTouch(io.outer_cpu_critical_error) 387 dontTouch(io.inner_beu_errors_icache) 388 dontTouch(io.outer_beu_errors_icache) 389 dontTouch(io.inner_hc_perfEvents) 390 dontTouch(io.outer_hc_perfEvents) 391 392 val redirect = RegNextWithEnable(io.redirect) 393 394 private val dcache = outer.dcache.module 395 val uncache = outer.uncache.module 396 397 //val delayedDcacheRefill = RegNext(dcache.io.lsu.lsq) 398 399 val csrCtrl = DelayN(io.ooo_to_mem.csrCtrl, 2) 400 dcache.io.l2_pf_store_only := RegNext(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_store_only, false.B) 401 io.error <> DelayNWithValid(dcache.io.error, 2) 402 when(!csrCtrl.cache_error_enable){ 403 io.error.bits.report_to_beu := false.B 404 io.error.valid := false.B 405 } 406 407 val loadUnits = Seq.fill(LduCnt)(Module(new LoadUnit)) 408 val storeUnits = Seq.fill(StaCnt)(Module(new StoreUnit)) 409 val stdExeUnits = Seq.fill(StdCnt)(Module(new MemExeUnit(backendParams.memSchdParams.get.issueBlockParams.find(_.StdCnt != 0).get.exuBlockParams.head))) 410 val hybridUnits = Seq.fill(HyuCnt)(Module(new HybridUnit)) // Todo: replace it with HybridUnit 411 val stData = stdExeUnits.map(_.io.out) 412 val exeUnits = loadUnits ++ storeUnits 413 414 // The number of vector load/store units is decoupled with the number of load/store units 415 val vlSplit = Seq.fill(VlduCnt)(Module(new VLSplitImp)) 416 val vsSplit = Seq.fill(VstuCnt)(Module(new VSSplitImp)) 417 val vlMergeBuffer = Module(new VLMergeBufferImp) 418 val vsMergeBuffer = Seq.fill(VstuCnt)(Module(new VSMergeBufferImp)) 419 val vSegmentUnit = Module(new VSegmentUnit) 420 val vfofBuffer = Module(new VfofBuffer) 421 422 // misalign Buffer 423 val loadMisalignBuffer = Module(new LoadMisalignBuffer) 424 val storeMisalignBuffer = Module(new StoreMisalignBuffer) 425 426 val l1_pf_req = Wire(Decoupled(new L1PrefetchReq())) 427 dcache.io.sms_agt_evict_req.ready := false.B 428 val l1D_pf_enable = GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable, 2, Some(false.B)) 429 val prefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 430 case _: SMSParams => 431 val sms = Module(new SMSPrefetcher()) 432 val enableSMS = Constantin.createRecord(s"enableSMS$hartId", initValue = true) 433 // constantinCtrl && master switch csrCtrl && single switch csrCtrl 434 sms.io.enable := enableSMS && l1D_pf_enable && 435 GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_recv_enable, 2, Some(false.B)) 436 sms.io_agt_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_agt, 2, Some(false.B)) 437 sms.io_pht_en := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_pht, 2, Some(false.B)) 438 sms.io_act_threshold := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_threshold, 2, Some(12.U)) 439 sms.io_act_stride := GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_active_stride, 2, Some(30.U)) 440 sms.io_stride_en := false.B 441 sms.io_dcache_evict <> dcache.io.sms_agt_evict_req 442 val mbistSmsPl = MbistPipeline.PlaceMbistPipeline(1, "MbistPipeSms", hasMbist) 443 sms 444 } 445 prefetcherOpt.foreach{ pf => pf.io.l1_req.ready := false.B } 446 val hartId = p(XSCoreParamsKey).HartId 447 val l1PrefetcherOpt: Option[BasePrefecher] = coreParams.prefetcher.map { 448 case _ => 449 val l1Prefetcher = Module(new L1Prefetcher()) 450 val enableL1StreamPrefetcher = Constantin.createRecord(s"enableL1StreamPrefetcher$hartId", initValue = true) 451 // constantinCtrl && master switch csrCtrl && single switch csrCtrl 452 l1Prefetcher.io.enable := enableL1StreamPrefetcher && l1D_pf_enable && 453 GatedRegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_enable_stride, 2, Some(false.B)) 454 l1Prefetcher.pf_ctrl <> dcache.io.pf_ctrl 455 l1Prefetcher.l2PfqBusy := io.l2PfqBusy 456 457 // stride will train on miss or prefetch hit 458 for (i <- 0 until LduCnt) { 459 val source = loadUnits(i).io.prefetch_train_l1 460 l1Prefetcher.stride_train(i).valid := source.valid && source.bits.isFirstIssue && ( 461 source.bits.miss || isFromStride(source.bits.meta_prefetch) 462 ) 463 l1Prefetcher.stride_train(i).bits := source.bits 464 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 465 l1Prefetcher.stride_train(i).bits.uop.pc := Mux( 466 loadUnits(i).io.s2_ptr_chasing, 467 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 468 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 469 ) 470 } 471 for (i <- 0 until HyuCnt) { 472 val source = hybridUnits(i).io.prefetch_train_l1 473 l1Prefetcher.stride_train.drop(LduCnt)(i).valid := source.valid && source.bits.isFirstIssue && ( 474 source.bits.miss || isFromStride(source.bits.meta_prefetch) 475 ) 476 l1Prefetcher.stride_train.drop(LduCnt)(i).bits := source.bits 477 l1Prefetcher.stride_train.drop(LduCnt)(i).bits.uop.pc := Mux( 478 hybridUnits(i).io.ldu_io.s2_ptr_chasing, 479 RegNext(io.ooo_to_mem.hybridPc(i)), 480 RegNext(RegNext(io.ooo_to_mem.hybridPc(i))) 481 ) 482 } 483 l1Prefetcher 484 } 485 // load prefetch to l1 Dcache 486 l1PrefetcherOpt match { 487 case Some(pf) => l1_pf_req <> Pipeline(in = pf.io.l1_req, depth = 1, pipe = false, name = Some("pf_queue_to_ldu_reg")) 488 case None => 489 l1_pf_req.valid := false.B 490 l1_pf_req.bits := DontCare 491 } 492 val pf_train_on_hit = RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l1D_pf_train_on_hit, 2, Some(true.B)) 493 494 loadUnits.zipWithIndex.map(x => x._1.suggestName("LoadUnit_"+x._2)) 495 storeUnits.zipWithIndex.map(x => x._1.suggestName("StoreUnit_"+x._2)) 496 hybridUnits.zipWithIndex.map(x => x._1.suggestName("HybridUnit_"+x._2)) 497 val atomicsUnit = Module(new AtomicsUnit) 498 499 500 val ldaExeWbReqs = Wire(Vec(LduCnt, Decoupled(new MemExuOutput))) 501 // atomicsUnit will overwrite the source from ldu if it is about to writeback 502 val atomicWritebackOverride = Mux( 503 atomicsUnit.io.out.valid, 504 atomicsUnit.io.out.bits, 505 loadUnits(AtomicWBPort).io.ldout.bits 506 ) 507 ldaExeWbReqs(AtomicWBPort).valid := atomicsUnit.io.out.valid || loadUnits(AtomicWBPort).io.ldout.valid 508 ldaExeWbReqs(AtomicWBPort).bits := atomicWritebackOverride 509 atomicsUnit.io.out.ready := ldaExeWbReqs(AtomicWBPort).ready 510 loadUnits(AtomicWBPort).io.ldout.ready := ldaExeWbReqs(AtomicWBPort).ready 511 512 val st_data_atomics = Seq.tabulate(StdCnt)(i => 513 stData(i).valid && FuType.storeIsAMO(stData(i).bits.uop.fuType) 514 ) 515 516 // misalignBuffer will overwrite the source from ldu if it is about to writeback 517 val misalignWritebackOverride = Mux( 518 loadUnits(MisalignWBPort).io.ldout.valid, 519 loadUnits(MisalignWBPort).io.ldout.bits, 520 loadMisalignBuffer.io.writeBack.bits 521 ) 522 ldaExeWbReqs(MisalignWBPort).valid := loadMisalignBuffer.io.writeBack.valid || loadUnits(MisalignWBPort).io.ldout.valid 523 ldaExeWbReqs(MisalignWBPort).bits := misalignWritebackOverride 524 loadMisalignBuffer.io.writeBack.ready := ldaExeWbReqs(MisalignWBPort).ready && !loadUnits(MisalignWBPort).io.ldout.valid 525 loadMisalignBuffer.io.loadOutValid := loadUnits(MisalignWBPort).io.ldout.valid 526 loadMisalignBuffer.io.loadVecOutValid := loadUnits(MisalignWBPort).io.vecldout.valid 527 loadUnits(MisalignWBPort).io.ldout.ready := ldaExeWbReqs(MisalignWBPort).ready 528 ldaExeWbReqs(MisalignWBPort).bits.isFromLoadUnit := loadUnits(MisalignWBPort).io.ldout.bits.isFromLoadUnit || loadMisalignBuffer.io.writeBack.valid 529 530 // loadUnit will overwrite the source from uncache if it is about to writeback 531 ldaExeWbReqs(UncacheWBPort) <> loadUnits(UncacheWBPort).io.ldout 532 io.mem_to_ooo.writebackLda <> ldaExeWbReqs 533 io.mem_to_ooo.writebackSta <> storeUnits.map(_.io.stout) 534 io.mem_to_ooo.writebackStd.zip(stdExeUnits).foreach {x => 535 x._1.bits := x._2.io.out.bits 536 // AMOs do not need to write back std now. 537 x._1.valid := x._2.io.out.fire && !FuType.storeIsAMO(x._2.io.out.bits.uop.fuType) 538 } 539 io.mem_to_ooo.writebackHyuLda <> hybridUnits.map(_.io.ldout) 540 io.mem_to_ooo.writebackHyuSta <> hybridUnits.map(_.io.stout) 541 io.mem_to_ooo.otherFastWakeup := DontCare 542 io.mem_to_ooo.otherFastWakeup.drop(HyuCnt).take(LduCnt).zip(loadUnits.map(_.io.fast_uop)).foreach{case(a,b)=> a := b} 543 io.mem_to_ooo.otherFastWakeup.take(HyuCnt).zip(hybridUnits.map(_.io.ldu_io.fast_uop)).foreach{case(a,b)=> a:=b} 544 val stOut = io.mem_to_ooo.writebackSta ++ io.mem_to_ooo.writebackHyuSta 545 546 // prefetch to l1 req 547 // Stream's confidence is always 1 548 // (LduCnt + HyuCnt) l1_pf_reqs ? 549 loadUnits.foreach(load_unit => { 550 load_unit.io.prefetch_req.valid <> l1_pf_req.valid 551 load_unit.io.prefetch_req.bits <> l1_pf_req.bits 552 }) 553 554 hybridUnits.foreach(hybrid_unit => { 555 hybrid_unit.io.ldu_io.prefetch_req.valid <> l1_pf_req.valid 556 hybrid_unit.io.ldu_io.prefetch_req.bits <> l1_pf_req.bits 557 }) 558 559 // NOTE: loadUnits(0) has higher bank conflict and miss queue arb priority than loadUnits(1) and loadUnits(2) 560 // when loadUnits(1)/loadUnits(2) stage 0 is busy, hw prefetch will never use that pipeline 561 val LowConfPorts = if (LduCnt == 2) Seq(1) else if (LduCnt == 3) Seq(1, 2) else Seq(0) 562 LowConfPorts.map{case i => loadUnits(i).io.prefetch_req.bits.confidence := 0.U} 563 hybridUnits.foreach(hybrid_unit => { hybrid_unit.io.ldu_io.prefetch_req.bits.confidence := 0.U }) 564 565 val canAcceptHighConfPrefetch = loadUnits.map(_.io.canAcceptHighConfPrefetch) ++ 566 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 567 val canAcceptLowConfPrefetch = loadUnits.map(_.io.canAcceptLowConfPrefetch) ++ 568 hybridUnits.map(_.io.canAcceptLowConfPrefetch) 569 l1_pf_req.ready := (0 until LduCnt + HyuCnt).map{ 570 case i => { 571 if (LowConfPorts.contains(i)) { 572 loadUnits(i).io.canAcceptLowConfPrefetch 573 } else { 574 Mux(l1_pf_req.bits.confidence === 1.U, canAcceptHighConfPrefetch(i), canAcceptLowConfPrefetch(i)) 575 } 576 } 577 }.reduce(_ || _) 578 579 // l1 pf fuzzer interface 580 val DebugEnableL1PFFuzzer = false 581 if (DebugEnableL1PFFuzzer) { 582 // l1 pf req fuzzer 583 val fuzzer = Module(new L1PrefetchFuzzer()) 584 fuzzer.io.vaddr := DontCare 585 fuzzer.io.paddr := DontCare 586 587 // override load_unit prefetch_req 588 loadUnits.foreach(load_unit => { 589 load_unit.io.prefetch_req.valid <> fuzzer.io.req.valid 590 load_unit.io.prefetch_req.bits <> fuzzer.io.req.bits 591 }) 592 593 // override hybrid_unit prefetch_req 594 hybridUnits.foreach(hybrid_unit => { 595 hybrid_unit.io.ldu_io.prefetch_req.valid <> fuzzer.io.req.valid 596 hybrid_unit.io.ldu_io.prefetch_req.bits <> fuzzer.io.req.bits 597 }) 598 599 fuzzer.io.req.ready := l1_pf_req.ready 600 } 601 602 // TODO: fast load wakeup 603 val lsq = Module(new LsqWrapper) 604 val sbuffer = Module(new Sbuffer) 605 // if you wants to stress test dcache store, use FakeSbuffer 606 // val sbuffer = Module(new FakeSbuffer) // out of date now 607 io.mem_to_ooo.stIssuePtr := lsq.io.issuePtrExt 608 609 dcache.io.hartId := io.hartId 610 lsq.io.hartId := io.hartId 611 sbuffer.io.hartId := io.hartId 612 atomicsUnit.io.hartId := io.hartId 613 614 dcache.io.lqEmpty := lsq.io.lqEmpty 615 616 // load/store prefetch to l2 cache 617 prefetcherOpt.foreach(sms_pf => { 618 l1PrefetcherOpt.foreach(l1_pf => { 619 val sms_pf_to_l2 = DelayNWithValid(sms_pf.io.l2_req, 2) 620 val l1_pf_to_l2 = DelayNWithValid(l1_pf.io.l2_req, 2) 621 622 outer.l2_pf_sender_opt.get.out.head._1.addr_valid := sms_pf_to_l2.valid || l1_pf_to_l2.valid 623 outer.l2_pf_sender_opt.get.out.head._1.addr := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.addr, sms_pf_to_l2.bits.addr) 624 outer.l2_pf_sender_opt.get.out.head._1.pf_source := Mux(l1_pf_to_l2.valid, l1_pf_to_l2.bits.source, sms_pf_to_l2.bits.source) 625 outer.l2_pf_sender_opt.get.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 2, Some(true.B)) 626 627 val l2_trace = Wire(new LoadPfDbBundle) 628 l2_trace.paddr := outer.l2_pf_sender_opt.get.out.head._1.addr 629 val table = ChiselDB.createTable(s"L2PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 630 table.log(l2_trace, l1_pf_to_l2.valid, "StreamPrefetchTrace", clock, reset) 631 table.log(l2_trace, !l1_pf_to_l2.valid && sms_pf_to_l2.valid, "L2PrefetchTrace", clock, reset) 632 633 val l1_pf_to_l3 = ValidIODelay(l1_pf.io.l3_req, 4) 634 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr_valid := l1_pf_to_l3.valid) 635 outer.l3_pf_sender_opt.foreach(_.out.head._1.addr := l1_pf_to_l3.bits) 636 outer.l3_pf_sender_opt.foreach(_.out.head._1.l2_pf_en := RegNextN(io.ooo_to_mem.csrCtrl.pf_ctrl.l2_pf_enable, 4, Some(true.B))) 637 638 val l3_trace = Wire(new LoadPfDbBundle) 639 l3_trace.paddr := outer.l3_pf_sender_opt.map(_.out.head._1.addr).getOrElse(0.U) 640 val l3_table = ChiselDB.createTable(s"L3PrefetchTrace$hartId", new LoadPfDbBundle, basicDB = false) 641 l3_table.log(l3_trace, l1_pf_to_l3.valid, "StreamPrefetchTrace", clock, reset) 642 643 XSPerfAccumulate("prefetch_fire_l2", outer.l2_pf_sender_opt.get.out.head._1.addr_valid) 644 XSPerfAccumulate("prefetch_fire_l3", outer.l3_pf_sender_opt.map(_.out.head._1.addr_valid).getOrElse(false.B)) 645 XSPerfAccumulate("l1pf_fire_l2", l1_pf_to_l2.valid) 646 XSPerfAccumulate("sms_fire_l2", !l1_pf_to_l2.valid && sms_pf_to_l2.valid) 647 XSPerfAccumulate("sms_block_by_l1pf", l1_pf_to_l2.valid && sms_pf_to_l2.valid) 648 }) 649 }) 650 651 // ptw 652 val sfence = RegNext(RegNext(io.ooo_to_mem.sfence)) 653 val tlbcsr = RegNext(RegNext(io.ooo_to_mem.tlbCsr)) 654 private val ptw = outer.ptw.module 655 private val ptw_to_l2_buffer = outer.ptw_to_l2_buffer.module 656 private val l1d_to_l2_buffer = outer.l1d_to_l2_buffer.module 657 ptw.io.hartId := io.hartId 658 ptw.io.sfence <> sfence 659 ptw.io.csr.tlb <> tlbcsr 660 ptw.io.csr.distribute_csr <> csrCtrl.distribute_csr 661 662 val perfEventsPTW = if (!coreParams.softPTW) { 663 ptw.getPerfEvents 664 } else { 665 Seq() 666 } 667 668 // dtlb 669 val dtlb_ld_tlb_ld = Module(new TLBNonBlock(LduCnt + HyuCnt + 1, 2, ldtlbParams)) 670 val dtlb_st_tlb_st = Module(new TLBNonBlock(StaCnt, 1, sttlbParams)) 671 val dtlb_prefetch_tlb_prefetch = Module(new TLBNonBlock(2, 2, pftlbParams)) 672 val dtlb_ld = Seq(dtlb_ld_tlb_ld.io) 673 val dtlb_st = Seq(dtlb_st_tlb_st.io) 674 val dtlb_prefetch = Seq(dtlb_prefetch_tlb_prefetch.io) 675 /* tlb vec && constant variable */ 676 val dtlb = dtlb_ld ++ dtlb_st ++ dtlb_prefetch 677 val (dtlb_ld_idx, dtlb_st_idx, dtlb_pf_idx) = (0, 1, 2) 678 val TlbSubSizeVec = Seq(LduCnt + HyuCnt + 1, StaCnt, 2) // (load + hyu + stream pf, store, sms+l2bop) 679 val DTlbSize = TlbSubSizeVec.sum 680 val TlbStartVec = TlbSubSizeVec.scanLeft(0)(_ + _).dropRight(1) 681 val TlbEndVec = TlbSubSizeVec.scanLeft(0)(_ + _).drop(1) 682 683 val ptwio = Wire(new VectorTlbPtwIO(DTlbSize)) 684 val dtlb_reqs = dtlb.map(_.requestor).flatten 685 val dtlb_pmps = dtlb.map(_.pmp).flatten 686 dtlb.map(_.hartId := io.hartId) 687 dtlb.map(_.sfence := sfence) 688 dtlb.map(_.csr := tlbcsr) 689 dtlb.map(_.flushPipe.map(a => a := false.B)) // non-block doesn't need 690 dtlb.map(_.redirect := redirect) 691 if (refillBothTlb) { 692 require(ldtlbParams.outReplace == sttlbParams.outReplace) 693 require(ldtlbParams.outReplace == hytlbParams.outReplace) 694 require(ldtlbParams.outReplace == pftlbParams.outReplace) 695 require(ldtlbParams.outReplace) 696 697 val replace = Module(new TlbReplace(DTlbSize, ldtlbParams)) 698 replace.io.apply_sep(dtlb_ld.map(_.replace) ++ dtlb_st.map(_.replace) ++ dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 699 } else { 700 // TODO: there will be bugs in TlbReplace when outReplace enable, since the order of Hyu is not right. 701 if (ldtlbParams.outReplace) { 702 val replace_ld = Module(new TlbReplace(LduCnt + 1, ldtlbParams)) 703 replace_ld.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 704 } 705 if (hytlbParams.outReplace) { 706 val replace_hy = Module(new TlbReplace(HyuCnt, hytlbParams)) 707 replace_hy.io.apply_sep(dtlb_ld.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 708 } 709 if (sttlbParams.outReplace) { 710 val replace_st = Module(new TlbReplace(StaCnt, sttlbParams)) 711 replace_st.io.apply_sep(dtlb_st.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 712 } 713 if (pftlbParams.outReplace) { 714 val replace_pf = Module(new TlbReplace(2, pftlbParams)) 715 replace_pf.io.apply_sep(dtlb_prefetch.map(_.replace), ptwio.resp.bits.data.s1.entry.tag) 716 } 717 } 718 719 val ptw_resp_next = RegEnable(ptwio.resp.bits, ptwio.resp.valid) 720 val ptw_resp_v = RegNext(ptwio.resp.valid && !(sfence.valid && tlbcsr.satp.changed && tlbcsr.vsatp.changed && tlbcsr.hgatp.changed), init = false.B) 721 ptwio.resp.ready := true.B 722 723 val tlbreplay = WireInit(VecInit(Seq.fill(LdExuCnt)(false.B))) 724 val tlbreplay_reg = GatedValidRegNext(tlbreplay) 725 val dtlb_ld0_tlbreplay_reg = GatedValidRegNext(dtlb_ld(0).tlbreplay) 726 727 if (backendParams.debugEn){ dontTouch(tlbreplay) } 728 729 for (i <- 0 until LdExuCnt) { 730 tlbreplay(i) := dtlb_ld(0).ptw.req(i).valid && ptw_resp_next.vector(0) && ptw_resp_v && 731 ptw_resp_next.data.hit(dtlb_ld(0).ptw.req(i).bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true) 732 } 733 734 dtlb.flatMap(a => a.ptw.req) 735 .zipWithIndex 736 .foreach{ case (tlb, i) => 737 tlb.ready := ptwio.req(i).ready 738 ptwio.req(i).bits := tlb.bits 739 val vector_hit = if (refillBothTlb) Cat(ptw_resp_next.vector).orR 740 else if (i < TlbEndVec(dtlb_ld_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR 741 else if (i < TlbEndVec(dtlb_st_idx)) Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR 742 else Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR 743 ptwio.req(i).valid := tlb.valid && !(ptw_resp_v && vector_hit && ptw_resp_next.data.hit(tlb.bits.vpn, tlbcsr.satp.asid, tlbcsr.vsatp.asid, tlbcsr.hgatp.vmid, allType = true, ignoreAsid = true)) 744 } 745 dtlb.foreach(_.ptw.resp.bits := ptw_resp_next.data) 746 if (refillBothTlb) { 747 dtlb.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector).orR) 748 } else { 749 dtlb_ld.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_ld_idx), TlbEndVec(dtlb_ld_idx))).orR) 750 dtlb_st.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_st_idx), TlbEndVec(dtlb_st_idx))).orR) 751 dtlb_prefetch.foreach(_.ptw.resp.valid := ptw_resp_v && Cat(ptw_resp_next.vector.slice(TlbStartVec(dtlb_pf_idx), TlbEndVec(dtlb_pf_idx))).orR) 752 } 753 dtlb_ld.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.take(LduCnt + HyuCnt + 1)).orR) 754 dtlb_st.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.slice(LduCnt + HyuCnt + 1, LduCnt + HyuCnt + 1 + StaCnt)).orR) 755 dtlb_prefetch.foreach(_.ptw.resp.bits.getGpa := Cat(ptw_resp_next.getGpa.drop(LduCnt + HyuCnt + 1 + StaCnt)).orR) 756 757 val dtlbRepeater = PTWNewFilter(ldtlbParams.fenceDelay, ptwio, ptw.io.tlb(1), sfence, tlbcsr, l2tlbParams.dfilterSize) 758 val itlbRepeater3 = PTWRepeaterNB(passReady = false, itlbParams.fenceDelay, io.fetch_to_mem.itlb, ptw.io.tlb(0), sfence, tlbcsr) 759 760 lsq.io.debugTopDown.robHeadMissInDTlb := dtlbRepeater.io.rob_head_miss_in_tlb 761 762 // pmp 763 val pmp = Module(new PMP()) 764 pmp.io.distribute_csr <> csrCtrl.distribute_csr 765 766 val pmp_checkers = Seq.fill(DTlbSize)(Module(new PMPChecker(4, leaveHitMux = true))) 767 val pmp_check = pmp_checkers.map(_.io) 768 for ((p,d) <- pmp_check zip dtlb_pmps) { 769 if (HasBitmapCheck) { 770 p.apply(tlbcsr.mbmc.CMODE.asBool, tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 771 } else { 772 p.apply(tlbcsr.priv.dmode, pmp.io.pmp, pmp.io.pma, d) 773 } 774 require(p.req.bits.size.getWidth == d.bits.size.getWidth) 775 } 776 777 for (i <- 0 until LduCnt) { 778 io.debug_ls.debugLsInfo(i) := loadUnits(i).io.debug_ls 779 } 780 for (i <- 0 until HyuCnt) { 781 io.debug_ls.debugLsInfo.drop(LduCnt)(i) := hybridUnits(i).io.ldu_io.debug_ls 782 } 783 for (i <- 0 until StaCnt) { 784 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt)(i) := storeUnits(i).io.debug_ls 785 } 786 for (i <- 0 until HyuCnt) { 787 io.debug_ls.debugLsInfo.drop(LduCnt + HyuCnt + StaCnt)(i) := hybridUnits(i).io.stu_io.debug_ls 788 } 789 790 io.mem_to_ooo.lsTopdownInfo := loadUnits.map(_.io.lsTopdownInfo) ++ hybridUnits.map(_.io.ldu_io.lsTopdownInfo) 791 792 // trigger 793 val tdata = RegInit(VecInit(Seq.fill(TriggerNum)(0.U.asTypeOf(new MatchTriggerIO)))) 794 val tEnable = RegInit(VecInit(Seq.fill(TriggerNum)(false.B))) 795 tEnable := csrCtrl.mem_trigger.tEnableVec 796 when(csrCtrl.mem_trigger.tUpdate.valid) { 797 tdata(csrCtrl.mem_trigger.tUpdate.bits.addr) := csrCtrl.mem_trigger.tUpdate.bits.tdata 798 } 799 val triggerCanRaiseBpExp = csrCtrl.mem_trigger.triggerCanRaiseBpExp 800 val debugMode = csrCtrl.mem_trigger.debugMode 801 802 val backendTriggerTimingVec = VecInit(tdata.map(_.timing)) 803 val backendTriggerChainVec = VecInit(tdata.map(_.chain)) 804 805 XSDebug(tEnable.asUInt.orR, "Debug Mode: At least one store trigger is enabled\n") 806 for (j <- 0 until TriggerNum) 807 PrintTriggerInfo(tEnable(j), tdata(j)) 808 809 // The segment instruction is executed atomically. 810 // After the segment instruction directive starts executing, no other instructions should be executed. 811 val vSegmentFlag = RegInit(false.B) 812 813 when(GatedValidRegNext(vSegmentUnit.io.in.fire)) { 814 vSegmentFlag := true.B 815 }.elsewhen(GatedValidRegNext(vSegmentUnit.io.uopwriteback.valid)) { 816 vSegmentFlag := false.B 817 } 818 819 val misalign_allow_spec = RegInit(true.B) 820 val ldu_rollback_with_misalign_nack = loadUnits.map(ldu => 821 ldu.io.lsq.ldin.bits.isFrmMisAlignBuf && ldu.io.lsq.ldin.bits.rep_info.rar_nack && ldu.io.rollback.valid 822 ).reduce(_ || _) 823 when (ldu_rollback_with_misalign_nack) { 824 misalign_allow_spec := false.B 825 } .elsewhen(lsq.io.rarValidCount < (LoadQueueRARSize - 4).U) { 826 misalign_allow_spec := true.B 827 } 828 829 // LoadUnit 830 val correctMissTrain = Constantin.createRecord(s"CorrectMissTrain$hartId", initValue = false) 831 832 for (i <- 0 until LduCnt) { 833 loadUnits(i).io.redirect <> redirect 834 loadUnits(i).io.misalign_allow_spec := misalign_allow_spec 835 836 // get input form dispatch 837 loadUnits(i).io.ldin <> io.ooo_to_mem.issueLda(i) 838 loadUnits(i).io.feedback_slow <> io.mem_to_ooo.ldaIqFeedback(i).feedbackSlow 839 io.mem_to_ooo.ldaIqFeedback(i).feedbackFast := DontCare 840 loadUnits(i).io.correctMissTrain := correctMissTrain 841 io.mem_to_ooo.ldCancel.drop(HyuCnt)(i) := loadUnits(i).io.ldCancel 842 io.mem_to_ooo.wakeup.drop(HyuCnt)(i) := loadUnits(i).io.wakeup 843 844 // vector 845 if (i < VlduCnt) { 846 loadUnits(i).io.vecldout.ready := false.B 847 } else { 848 loadUnits(i).io.vecldin.valid := false.B 849 loadUnits(i).io.vecldin.bits := DontCare 850 loadUnits(i).io.vecldout.ready := false.B 851 } 852 853 // fast replay 854 loadUnits(i).io.fast_rep_in <> loadUnits(i).io.fast_rep_out 855 856 // SoftPrefetch to frontend (prefetch.i) 857 loadUnits(i).io.ifetchPrefetch <> io.ifetchPrefetch(i) 858 859 // dcache access 860 loadUnits(i).io.dcache <> dcache.io.lsu.load(i) 861 if(i == 0){ 862 vSegmentUnit.io.rdcache := DontCare 863 dcache.io.lsu.load(i).req.valid := loadUnits(i).io.dcache.req.valid || vSegmentUnit.io.rdcache.req.valid 864 dcache.io.lsu.load(i).req.bits := Mux1H(Seq( 865 vSegmentUnit.io.rdcache.req.valid -> vSegmentUnit.io.rdcache.req.bits, 866 loadUnits(i).io.dcache.req.valid -> loadUnits(i).io.dcache.req.bits 867 )) 868 vSegmentUnit.io.rdcache.req.ready := dcache.io.lsu.load(i).req.ready 869 } 870 871 // Dcache requests must also be preempted by the segment. 872 when(vSegmentFlag){ 873 loadUnits(i).io.dcache.req.ready := false.B // Dcache is preempted. 874 875 dcache.io.lsu.load(0).pf_source := vSegmentUnit.io.rdcache.pf_source 876 dcache.io.lsu.load(0).s1_paddr_dup_lsu := vSegmentUnit.io.rdcache.s1_paddr_dup_lsu 877 dcache.io.lsu.load(0).s1_paddr_dup_dcache := vSegmentUnit.io.rdcache.s1_paddr_dup_dcache 878 dcache.io.lsu.load(0).s1_kill := vSegmentUnit.io.rdcache.s1_kill 879 dcache.io.lsu.load(0).s2_kill := vSegmentUnit.io.rdcache.s2_kill 880 dcache.io.lsu.load(0).s0_pc := vSegmentUnit.io.rdcache.s0_pc 881 dcache.io.lsu.load(0).s1_pc := vSegmentUnit.io.rdcache.s1_pc 882 dcache.io.lsu.load(0).s2_pc := vSegmentUnit.io.rdcache.s2_pc 883 dcache.io.lsu.load(0).is128Req := vSegmentUnit.io.rdcache.is128Req 884 }.otherwise { 885 loadUnits(i).io.dcache.req.ready := dcache.io.lsu.load(i).req.ready 886 887 dcache.io.lsu.load(0).pf_source := loadUnits(0).io.dcache.pf_source 888 dcache.io.lsu.load(0).s1_paddr_dup_lsu := loadUnits(0).io.dcache.s1_paddr_dup_lsu 889 dcache.io.lsu.load(0).s1_paddr_dup_dcache := loadUnits(0).io.dcache.s1_paddr_dup_dcache 890 dcache.io.lsu.load(0).s1_kill := loadUnits(0).io.dcache.s1_kill 891 dcache.io.lsu.load(0).s2_kill := loadUnits(0).io.dcache.s2_kill 892 dcache.io.lsu.load(0).s0_pc := loadUnits(0).io.dcache.s0_pc 893 dcache.io.lsu.load(0).s1_pc := loadUnits(0).io.dcache.s1_pc 894 dcache.io.lsu.load(0).s2_pc := loadUnits(0).io.dcache.s2_pc 895 dcache.io.lsu.load(0).is128Req := loadUnits(0).io.dcache.is128Req 896 } 897 898 // forward 899 loadUnits(i).io.lsq.forward <> lsq.io.forward(i) 900 loadUnits(i).io.sbuffer <> sbuffer.io.forward(i) 901 loadUnits(i).io.ubuffer <> uncache.io.forward(i) 902 loadUnits(i).io.tl_d_channel := dcache.io.lsu.forward_D(i) 903 loadUnits(i).io.forward_mshr <> dcache.io.lsu.forward_mshr(i) 904 // ld-ld violation check 905 loadUnits(i).io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(i) 906 loadUnits(i).io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(i) 907 // loadqueue old ptr 908 loadUnits(i).io.lsq.lqDeqPtr := lsq.io.lqDeqPtr 909 loadUnits(i).io.csrCtrl <> csrCtrl 910 // dcache refill req 911 // loadUnits(i).io.refill <> delayedDcacheRefill 912 // dtlb 913 loadUnits(i).io.tlb <> dtlb_reqs.take(LduCnt)(i) 914 if(i == 0 ){ // port 0 assign to vsegmentUnit 915 val vsegmentDtlbReqValid = vSegmentUnit.io.dtlb.req.valid // segment tlb resquest need to delay 1 cycle 916 dtlb_reqs.take(LduCnt)(i).req.valid := loadUnits(i).io.tlb.req.valid || RegNext(vsegmentDtlbReqValid) 917 vSegmentUnit.io.dtlb.req.ready := dtlb_reqs.take(LduCnt)(i).req.ready 918 dtlb_reqs.take(LduCnt)(i).req.bits := ParallelPriorityMux(Seq( 919 RegNext(vsegmentDtlbReqValid) -> RegEnable(vSegmentUnit.io.dtlb.req.bits, vsegmentDtlbReqValid), 920 loadUnits(i).io.tlb.req.valid -> loadUnits(i).io.tlb.req.bits 921 )) 922 } 923 // pmp 924 loadUnits(i).io.pmp <> pmp_check(i).resp 925 // st-ld violation query 926 val stld_nuke_query = storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query) 927 for (s <- 0 until StorePipelineWidth) { 928 loadUnits(i).io.stld_nuke_query(s) := stld_nuke_query(s) 929 } 930 loadUnits(i).io.lq_rep_full <> lsq.io.lq_rep_full 931 // load prefetch train 932 prefetcherOpt.foreach(pf => { 933 // sms will train on all miss load sources 934 val source = loadUnits(i).io.prefetch_train 935 pf.io.ld_in(i).valid := Mux(pf_train_on_hit, 936 source.valid, 937 source.valid && source.bits.isFirstIssue && source.bits.miss 938 ) 939 pf.io.ld_in(i).bits := source.bits 940 val loadPc = RegNext(io.ooo_to_mem.issueLda(i).bits.uop.pc) // for s1 941 pf.io.ld_in(i).bits.uop.pc := Mux( 942 loadUnits(i).io.s2_ptr_chasing, 943 RegEnable(loadPc, loadUnits(i).io.s2_prefetch_spec), 944 RegEnable(RegEnable(loadPc, loadUnits(i).io.s1_prefetch_spec), loadUnits(i).io.s2_prefetch_spec) 945 ) 946 }) 947 l1PrefetcherOpt.foreach(pf => { 948 // stream will train on all load sources 949 val source = loadUnits(i).io.prefetch_train_l1 950 pf.io.ld_in(i).valid := source.valid && source.bits.isFirstIssue 951 pf.io.ld_in(i).bits := source.bits 952 }) 953 954 // load to load fast forward: load(i) prefers data(i) 955 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 956 val fastPriority = (i until LduCnt + HyuCnt) ++ (0 until i) 957 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 958 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 959 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 960 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(i)(j)) 961 loadUnits(i).io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 962 loadUnits(i).io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 963 loadUnits(i).io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 964 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 965 loadUnits(i).io.ld_fast_match := fastMatch 966 loadUnits(i).io.ld_fast_imm := io.ooo_to_mem.loadFastImm(i) 967 loadUnits(i).io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(i) 968 loadUnits(i).io.replay <> lsq.io.replay(i) 969 970 val l2_hint = RegNext(io.l2_hint) 971 972 // L2 Hint for DCache 973 dcache.io.l2_hint <> l2_hint 974 975 loadUnits(i).io.l2_hint <> l2_hint 976 loadUnits(i).io.tlb_hint.id := dtlbRepeater.io.hint.get.req(i).id 977 loadUnits(i).io.tlb_hint.full := dtlbRepeater.io.hint.get.req(i).full || 978 tlbreplay_reg(i) || dtlb_ld0_tlbreplay_reg(i) 979 980 // passdown to lsq (load s2) 981 lsq.io.ldu.ldin(i) <> loadUnits(i).io.lsq.ldin 982 if (i == UncacheWBPort) { 983 lsq.io.ldout(i) <> loadUnits(i).io.lsq.uncache 984 } else { 985 lsq.io.ldout(i).ready := true.B 986 loadUnits(i).io.lsq.uncache.valid := false.B 987 loadUnits(i).io.lsq.uncache.bits := DontCare 988 } 989 lsq.io.ld_raw_data(i) <> loadUnits(i).io.lsq.ld_raw_data 990 lsq.io.ncOut(i) <> loadUnits(i).io.lsq.nc_ldin 991 lsq.io.l2_hint.valid := l2_hint.valid 992 lsq.io.l2_hint.bits.sourceId := l2_hint.bits.sourceId 993 lsq.io.l2_hint.bits.isKeyword := l2_hint.bits.isKeyword 994 995 lsq.io.tlb_hint <> dtlbRepeater.io.hint.get 996 997 // connect misalignBuffer 998 loadMisalignBuffer.io.enq(i) <> loadUnits(i).io.misalign_enq 999 1000 if (i == MisalignWBPort) { 1001 loadUnits(i).io.misalign_ldin <> loadMisalignBuffer.io.splitLoadReq 1002 loadUnits(i).io.misalign_ldout <> loadMisalignBuffer.io.splitLoadResp 1003 } else { 1004 loadUnits(i).io.misalign_ldin.valid := false.B 1005 loadUnits(i).io.misalign_ldin.bits := DontCare 1006 } 1007 1008 // alter writeback exception info 1009 io.mem_to_ooo.s3_delayed_load_error(i) := loadUnits(i).io.s3_dly_ld_err 1010 1011 // update mem dependency predictor 1012 // io.memPredUpdate(i) := DontCare 1013 1014 // -------------------------------- 1015 // Load Triggers 1016 // -------------------------------- 1017 loadUnits(i).io.fromCsrTrigger.tdataVec := tdata 1018 loadUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 1019 loadUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1020 loadUnits(i).io.fromCsrTrigger.debugMode := debugMode 1021 } 1022 1023 for (i <- 0 until HyuCnt) { 1024 hybridUnits(i).io.redirect <> redirect 1025 1026 // get input from dispatch 1027 hybridUnits(i).io.lsin <> io.ooo_to_mem.issueHya(i) 1028 hybridUnits(i).io.feedback_slow <> io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow 1029 hybridUnits(i).io.feedback_fast <> io.mem_to_ooo.hyuIqFeedback(i).feedbackFast 1030 hybridUnits(i).io.correctMissTrain := correctMissTrain 1031 io.mem_to_ooo.ldCancel.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.ldCancel 1032 io.mem_to_ooo.wakeup.take(HyuCnt)(i) := hybridUnits(i).io.ldu_io.wakeup 1033 1034 // ------------------------------------ 1035 // Load Port 1036 // ------------------------------------ 1037 // fast replay 1038 hybridUnits(i).io.ldu_io.fast_rep_in <> hybridUnits(i).io.ldu_io.fast_rep_out 1039 1040 // get input from dispatch 1041 hybridUnits(i).io.ldu_io.dcache <> dcache.io.lsu.load(LduCnt + i) 1042 hybridUnits(i).io.stu_io.dcache <> dcache.io.lsu.sta(StaCnt + i) 1043 1044 // dcache access 1045 hybridUnits(i).io.ldu_io.lsq.forward <> lsq.io.forward(LduCnt + i) 1046 // forward 1047 hybridUnits(i).io.ldu_io.sbuffer <> sbuffer.io.forward(LduCnt + i) 1048 hybridUnits(i).io.ldu_io.ubuffer <> uncache.io.forward(LduCnt + i) 1049 // hybridUnits(i).io.ldu_io.vec_forward <> vsFlowQueue.io.forward(LduCnt + i) 1050 hybridUnits(i).io.ldu_io.vec_forward := DontCare 1051 hybridUnits(i).io.ldu_io.tl_d_channel := dcache.io.lsu.forward_D(LduCnt + i) 1052 hybridUnits(i).io.ldu_io.forward_mshr <> dcache.io.lsu.forward_mshr(LduCnt + i) 1053 // ld-ld violation check 1054 hybridUnits(i).io.ldu_io.lsq.ldld_nuke_query <> lsq.io.ldu.ldld_nuke_query(LduCnt + i) 1055 hybridUnits(i).io.ldu_io.lsq.stld_nuke_query <> lsq.io.ldu.stld_nuke_query(LduCnt + i) 1056 hybridUnits(i).io.csrCtrl <> csrCtrl 1057 // dcache refill req 1058 hybridUnits(i).io.ldu_io.tlb_hint.id := dtlbRepeater.io.hint.get.req(LduCnt + i).id 1059 hybridUnits(i).io.ldu_io.tlb_hint.full := dtlbRepeater.io.hint.get.req(LduCnt + i).full || 1060 tlbreplay_reg(LduCnt + i) || dtlb_ld0_tlbreplay_reg(LduCnt + i) 1061 1062 // dtlb 1063 hybridUnits(i).io.tlb <> dtlb_ld.head.requestor(LduCnt + i) 1064 // pmp 1065 hybridUnits(i).io.pmp <> pmp_check.drop(LduCnt)(i).resp 1066 // st-ld violation query 1067 val stld_nuke_query = VecInit(storeUnits.map(_.io.stld_nuke_query) ++ hybridUnits.map(_.io.stu_io.stld_nuke_query)) 1068 hybridUnits(i).io.ldu_io.stld_nuke_query := stld_nuke_query 1069 hybridUnits(i).io.ldu_io.lq_rep_full <> lsq.io.lq_rep_full 1070 // load prefetch train 1071 prefetcherOpt.foreach(pf => { 1072 val source = hybridUnits(i).io.prefetch_train 1073 pf.io.ld_in(LduCnt + i).valid := Mux(pf_train_on_hit, 1074 source.valid, 1075 source.valid && source.bits.isFirstIssue && source.bits.miss 1076 ) 1077 pf.io.ld_in(LduCnt + i).bits := source.bits 1078 pf.io.ld_in(LduCnt + i).bits.uop.pc := Mux(hybridUnits(i).io.ldu_io.s2_ptr_chasing, io.ooo_to_mem.hybridPc(i), RegNext(io.ooo_to_mem.hybridPc(i))) 1079 }) 1080 l1PrefetcherOpt.foreach(pf => { 1081 // stream will train on all load sources 1082 val source = hybridUnits(i).io.prefetch_train_l1 1083 pf.io.ld_in(LduCnt + i).valid := source.valid && source.bits.isFirstIssue && 1084 FuType.isLoad(source.bits.uop.fuType) 1085 pf.io.ld_in(LduCnt + i).bits := source.bits 1086 pf.io.st_in(StaCnt + i).valid := false.B 1087 pf.io.st_in(StaCnt + i).bits := DontCare 1088 }) 1089 prefetcherOpt.foreach(pf => { 1090 val source = hybridUnits(i).io.prefetch_train 1091 pf.io.st_in(StaCnt + i).valid := Mux(pf_train_on_hit, 1092 source.valid, 1093 source.valid && source.bits.isFirstIssue && source.bits.miss 1094 ) && FuType.isStore(source.bits.uop.fuType) 1095 pf.io.st_in(StaCnt + i).bits := source.bits 1096 pf.io.st_in(StaCnt + i).bits.uop.pc := RegNext(io.ooo_to_mem.hybridPc(i)) 1097 }) 1098 1099 // load to load fast forward: load(i) prefers data(i) 1100 val l2l_fwd_out = loadUnits.map(_.io.l2l_fwd_out) ++ hybridUnits.map(_.io.ldu_io.l2l_fwd_out) 1101 val fastPriority = (LduCnt + i until LduCnt + HyuCnt) ++ (0 until LduCnt + i) 1102 val fastValidVec = fastPriority.map(j => l2l_fwd_out(j).valid) 1103 val fastDataVec = fastPriority.map(j => l2l_fwd_out(j).data) 1104 val fastErrorVec = fastPriority.map(j => l2l_fwd_out(j).dly_ld_err) 1105 val fastMatchVec = fastPriority.map(j => io.ooo_to_mem.loadFastMatch(LduCnt + i)(j)) 1106 hybridUnits(i).io.ldu_io.l2l_fwd_in.valid := VecInit(fastValidVec).asUInt.orR 1107 hybridUnits(i).io.ldu_io.l2l_fwd_in.data := ParallelPriorityMux(fastValidVec, fastDataVec) 1108 hybridUnits(i).io.ldu_io.l2l_fwd_in.dly_ld_err := ParallelPriorityMux(fastValidVec, fastErrorVec) 1109 val fastMatch = ParallelPriorityMux(fastValidVec, fastMatchVec) 1110 hybridUnits(i).io.ldu_io.ld_fast_match := fastMatch 1111 hybridUnits(i).io.ldu_io.ld_fast_imm := io.ooo_to_mem.loadFastImm(LduCnt + i) 1112 hybridUnits(i).io.ldu_io.ld_fast_fuOpType := io.ooo_to_mem.loadFastFuOpType(LduCnt + i) 1113 hybridUnits(i).io.ldu_io.replay <> lsq.io.replay(LduCnt + i) 1114 hybridUnits(i).io.ldu_io.l2_hint <> io.l2_hint 1115 1116 // uncache 1117 lsq.io.ldout.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.uncache 1118 lsq.io.ld_raw_data.drop(LduCnt)(i) <> hybridUnits(i).io.ldu_io.lsq.ld_raw_data 1119 1120 1121 // passdown to lsq (load s2) 1122 hybridUnits(i).io.ldu_io.lsq.nc_ldin.valid := false.B 1123 hybridUnits(i).io.ldu_io.lsq.nc_ldin.bits := DontCare 1124 lsq.io.ldu.ldin(LduCnt + i) <> hybridUnits(i).io.ldu_io.lsq.ldin 1125 // Lsq to sta unit 1126 lsq.io.sta.storeMaskIn(StaCnt + i) <> hybridUnits(i).io.stu_io.st_mask_out 1127 1128 // Lsq to std unit's rs 1129 lsq.io.std.storeDataIn(StaCnt + i) := stData(StaCnt + i) 1130 lsq.io.std.storeDataIn(StaCnt + i).valid := stData(StaCnt + i).valid && !st_data_atomics(StaCnt + i) 1131 // prefetch 1132 hybridUnits(i).io.stu_io.prefetch_req <> sbuffer.io.store_prefetch(StaCnt + i) 1133 1134 io.mem_to_ooo.s3_delayed_load_error(LduCnt + i) := hybridUnits(i).io.ldu_io.s3_dly_ld_err 1135 1136 // ------------------------------------ 1137 // Store Port 1138 // ------------------------------------ 1139 hybridUnits(i).io.stu_io.lsq <> lsq.io.sta.storeAddrIn.takeRight(HyuCnt)(i) 1140 hybridUnits(i).io.stu_io.lsq_replenish <> lsq.io.sta.storeAddrInRe.takeRight(HyuCnt)(i) 1141 1142 lsq.io.sta.storeMaskIn.takeRight(HyuCnt)(i) <> hybridUnits(i).io.stu_io.st_mask_out 1143 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).valid := hybridUnits(i).io.stu_io.issue.valid 1144 io.mem_to_ooo.stIn.takeRight(HyuCnt)(i).bits := hybridUnits(i).io.stu_io.issue.bits 1145 1146 // ------------------------------------ 1147 // Vector Store Port 1148 // ------------------------------------ 1149 hybridUnits(i).io.vec_stu_io.isFirstIssue := true.B 1150 1151 // ------------------------- 1152 // Store Triggers 1153 // ------------------------- 1154 hybridUnits(i).io.fromCsrTrigger.tdataVec := tdata 1155 hybridUnits(i).io.fromCsrTrigger.tEnableVec := tEnable 1156 hybridUnits(i).io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1157 hybridUnits(i).io.fromCsrTrigger.debugMode := debugMode 1158 } 1159 1160 // misalignBuffer 1161 loadMisalignBuffer.io.redirect <> redirect 1162 loadMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1163 loadMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1164 loadMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1165 loadMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1166 loadMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1167 loadMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1168 loadMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1169 loadMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1170 loadMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1171 1172 lsq.io.loadMisalignFull := loadMisalignBuffer.io.loadMisalignFull 1173 lsq.io.misalignAllowSpec := misalign_allow_spec 1174 1175 storeMisalignBuffer.io.redirect <> redirect 1176 storeMisalignBuffer.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1177 storeMisalignBuffer.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1178 storeMisalignBuffer.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1179 storeMisalignBuffer.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1180 storeMisalignBuffer.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1181 storeMisalignBuffer.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1182 storeMisalignBuffer.io.rob.commit := io.ooo_to_mem.lsqio.commit 1183 storeMisalignBuffer.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1184 storeMisalignBuffer.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1185 1186 lsq.io.maControl <> storeMisalignBuffer.io.sqControl 1187 1188 lsq.io.cmoOpReq <> dcache.io.cmoOpReq 1189 lsq.io.cmoOpResp <> dcache.io.cmoOpResp 1190 1191 // Prefetcher 1192 val StreamDTLBPortIndex = TlbStartVec(dtlb_ld_idx) + LduCnt + HyuCnt 1193 val PrefetcherDTLBPortIndex = TlbStartVec(dtlb_pf_idx) 1194 val L2toL1DLBPortIndex = TlbStartVec(dtlb_pf_idx) + 1 1195 prefetcherOpt match { 1196 case Some(pf) => 1197 dtlb_reqs(PrefetcherDTLBPortIndex) <> pf.io.tlb_req 1198 pf.io.pmp_resp := pmp_check(PrefetcherDTLBPortIndex).resp 1199 case None => 1200 dtlb_reqs(PrefetcherDTLBPortIndex) := DontCare 1201 dtlb_reqs(PrefetcherDTLBPortIndex).req.valid := false.B 1202 dtlb_reqs(PrefetcherDTLBPortIndex).resp.ready := true.B 1203 } 1204 l1PrefetcherOpt match { 1205 case Some(pf) => 1206 dtlb_reqs(StreamDTLBPortIndex) <> pf.io.tlb_req 1207 pf.io.pmp_resp := pmp_check(StreamDTLBPortIndex).resp 1208 case None => 1209 dtlb_reqs(StreamDTLBPortIndex) := DontCare 1210 dtlb_reqs(StreamDTLBPortIndex).req.valid := false.B 1211 dtlb_reqs(StreamDTLBPortIndex).resp.ready := true.B 1212 } 1213 dtlb_reqs(L2toL1DLBPortIndex) <> io.l2_tlb_req 1214 dtlb_reqs(L2toL1DLBPortIndex).resp.ready := true.B 1215 io.l2_pmp_resp := pmp_check(L2toL1DLBPortIndex).resp 1216 1217 // StoreUnit 1218 for (i <- 0 until StdCnt) { 1219 stdExeUnits(i).io.flush <> redirect 1220 stdExeUnits(i).io.in.valid := io.ooo_to_mem.issueStd(i).valid 1221 io.ooo_to_mem.issueStd(i).ready := stdExeUnits(i).io.in.ready 1222 stdExeUnits(i).io.in.bits := io.ooo_to_mem.issueStd(i).bits 1223 } 1224 1225 for (i <- 0 until StaCnt) { 1226 val stu = storeUnits(i) 1227 1228 stu.io.redirect <> redirect 1229 stu.io.csrCtrl <> csrCtrl 1230 stu.io.dcache <> dcache.io.lsu.sta(i) 1231 stu.io.feedback_slow <> io.mem_to_ooo.staIqFeedback(i).feedbackSlow 1232 stu.io.stin <> io.ooo_to_mem.issueSta(i) 1233 stu.io.lsq <> lsq.io.sta.storeAddrIn(i) 1234 stu.io.lsq_replenish <> lsq.io.sta.storeAddrInRe(i) 1235 // dtlb 1236 stu.io.tlb <> dtlb_st.head.requestor(i) 1237 stu.io.pmp <> pmp_check(LduCnt + HyuCnt + 1 + i).resp 1238 1239 // ------------------------- 1240 // Store Triggers 1241 // ------------------------- 1242 stu.io.fromCsrTrigger.tdataVec := tdata 1243 stu.io.fromCsrTrigger.tEnableVec := tEnable 1244 stu.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 1245 stu.io.fromCsrTrigger.debugMode := debugMode 1246 1247 // prefetch 1248 stu.io.prefetch_req <> sbuffer.io.store_prefetch(i) 1249 1250 // store unit does not need fast feedback 1251 io.mem_to_ooo.staIqFeedback(i).feedbackFast := DontCare 1252 1253 // Lsq to sta unit 1254 lsq.io.sta.storeMaskIn(i) <> stu.io.st_mask_out 1255 1256 // connect misalignBuffer 1257 storeMisalignBuffer.io.enq(i) <> stu.io.misalign_enq 1258 1259 if (i == 0) { 1260 stu.io.misalign_stin <> storeMisalignBuffer.io.splitStoreReq 1261 stu.io.misalign_stout <> storeMisalignBuffer.io.splitStoreResp 1262 } else { 1263 stu.io.misalign_stin.valid := false.B 1264 stu.io.misalign_stin.bits := DontCare 1265 } 1266 1267 // Lsq to std unit's rs 1268 if (i < VstuCnt){ 1269 when (vsSplit(i).io.vstd.get.valid) { 1270 lsq.io.std.storeDataIn(i).valid := true.B 1271 lsq.io.std.storeDataIn(i).bits := vsSplit(i).io.vstd.get.bits 1272 stData(i).ready := false.B 1273 }.otherwise { 1274 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1275 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1276 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1277 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1278 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1279 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1280 stData(i).ready := true.B 1281 } 1282 } else { 1283 lsq.io.std.storeDataIn(i).valid := stData(i).valid && !st_data_atomics(i) 1284 lsq.io.std.storeDataIn(i).bits.uop := stData(i).bits.uop 1285 lsq.io.std.storeDataIn(i).bits.data := stData(i).bits.data 1286 lsq.io.std.storeDataIn(i).bits.mask.map(_ := 0.U) 1287 lsq.io.std.storeDataIn(i).bits.vdIdx.map(_ := 0.U) 1288 lsq.io.std.storeDataIn(i).bits.vdIdxInField.map(_ := 0.U) 1289 stData(i).ready := true.B 1290 } 1291 lsq.io.std.storeDataIn.map(_.bits.debug := 0.U.asTypeOf(new DebugBundle)) 1292 lsq.io.std.storeDataIn.foreach(_.bits.isFromLoadUnit := DontCare) 1293 1294 1295 // store prefetch train 1296 l1PrefetcherOpt.foreach(pf => { 1297 // stream will train on all load sources 1298 pf.io.st_in(i).valid := false.B 1299 pf.io.st_in(i).bits := DontCare 1300 }) 1301 1302 prefetcherOpt.foreach(pf => { 1303 pf.io.st_in(i).valid := Mux(pf_train_on_hit, 1304 stu.io.prefetch_train.valid, 1305 stu.io.prefetch_train.valid && stu.io.prefetch_train.bits.isFirstIssue && ( 1306 stu.io.prefetch_train.bits.miss 1307 ) 1308 ) 1309 pf.io.st_in(i).bits := stu.io.prefetch_train.bits 1310 pf.io.st_in(i).bits.uop.pc := RegEnable(RegEnable(io.ooo_to_mem.storePc(i), stu.io.s1_prefetch_spec), stu.io.s2_prefetch_spec) 1311 }) 1312 1313 // 1. sync issue info to store set LFST 1314 // 2. when store issue, broadcast issued sqPtr to wake up the following insts 1315 // io.stIn(i).valid := io.issue(exuParameters.LduCnt + i).valid 1316 // io.stIn(i).bits := io.issue(exuParameters.LduCnt + i).bits 1317 io.mem_to_ooo.stIn(i).valid := stu.io.issue.valid 1318 io.mem_to_ooo.stIn(i).bits := stu.io.issue.bits 1319 1320 stu.io.stout.ready := true.B 1321 1322 // vector 1323 if (i < VstuCnt) { 1324 stu.io.vecstin <> vsSplit(i).io.out 1325 // vsFlowQueue.io.pipeFeedback(i) <> stu.io.vec_feedback_slow // need connect 1326 } else { 1327 stu.io.vecstin.valid := false.B 1328 stu.io.vecstin.bits := DontCare 1329 stu.io.vecstout.ready := false.B 1330 } 1331 stu.io.vec_isFirstIssue := true.B // TODO 1332 } 1333 1334 val sqOtherStout = WireInit(0.U.asTypeOf(DecoupledIO(new MemExuOutput))) 1335 sqOtherStout.valid := lsq.io.mmioStout.valid || lsq.io.cboZeroStout.valid 1336 sqOtherStout.bits := Mux(lsq.io.cboZeroStout.valid, lsq.io.cboZeroStout.bits, lsq.io.mmioStout.bits) 1337 assert(!(lsq.io.mmioStout.valid && lsq.io.cboZeroStout.valid), "Cannot writeback to mmio and cboZero at the same time.") 1338 1339 // Store writeback by StoreQueue: 1340 // 1. cbo Zero 1341 // 2. mmio 1342 // Currently, the two should not be present at the same time, so simply make cbo zero a higher priority. 1343 val otherStout = WireInit(0.U.asTypeOf(lsq.io.mmioStout)) 1344 NewPipelineConnect( 1345 sqOtherStout, otherStout, otherStout.fire, 1346 false.B, 1347 Option("otherStoutConnect") 1348 ) 1349 otherStout.ready := false.B 1350 when (otherStout.valid && !storeUnits(0).io.stout.valid) { 1351 stOut(0).valid := true.B 1352 stOut(0).bits := otherStout.bits 1353 otherStout.ready := true.B 1354 } 1355 lsq.io.mmioStout.ready := sqOtherStout.ready 1356 lsq.io.cboZeroStout.ready := sqOtherStout.ready 1357 1358 // vec mmio writeback 1359 lsq.io.vecmmioStout.ready := false.B 1360 1361 // miss align buffer will overwrite stOut(0) 1362 val storeMisalignCanWriteBack = !otherStout.valid && !storeUnits(0).io.stout.valid && !storeUnits(0).io.vecstout.valid 1363 storeMisalignBuffer.io.writeBack.ready := storeMisalignCanWriteBack 1364 storeMisalignBuffer.io.storeOutValid := storeUnits(0).io.stout.valid 1365 storeMisalignBuffer.io.storeVecOutValid := storeUnits(0).io.vecstout.valid 1366 when (storeMisalignBuffer.io.writeBack.valid && storeMisalignCanWriteBack) { 1367 stOut(0).valid := true.B 1368 stOut(0).bits := storeMisalignBuffer.io.writeBack.bits 1369 } 1370 1371 // Uncache 1372 uncache.io.enableOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1373 uncache.io.hartId := io.hartId 1374 lsq.io.uncacheOutstanding := io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable 1375 1376 // Lsq 1377 io.mem_to_ooo.lsqio.mmio := lsq.io.rob.mmio 1378 io.mem_to_ooo.lsqio.uop := lsq.io.rob.uop 1379 lsq.io.rob.lcommit := io.ooo_to_mem.lsqio.lcommit 1380 lsq.io.rob.scommit := io.ooo_to_mem.lsqio.scommit 1381 lsq.io.rob.pendingMMIOld := io.ooo_to_mem.lsqio.pendingMMIOld 1382 lsq.io.rob.pendingld := io.ooo_to_mem.lsqio.pendingld 1383 lsq.io.rob.pendingst := io.ooo_to_mem.lsqio.pendingst 1384 lsq.io.rob.pendingVst := io.ooo_to_mem.lsqio.pendingVst 1385 lsq.io.rob.commit := io.ooo_to_mem.lsqio.commit 1386 lsq.io.rob.pendingPtr := io.ooo_to_mem.lsqio.pendingPtr 1387 lsq.io.rob.pendingPtrNext := io.ooo_to_mem.lsqio.pendingPtrNext 1388 1389 // lsq.io.rob <> io.lsqio.rob 1390 lsq.io.enq <> io.ooo_to_mem.enqLsq 1391 lsq.io.brqRedirect <> redirect 1392 1393 // violation rollback 1394 def selectOldestRedirect(xs: Seq[Valid[Redirect]]): Vec[Bool] = { 1395 val compareVec = (0 until xs.length).map(i => (0 until i).map(j => isAfter(xs(j).bits.robIdx, xs(i).bits.robIdx))) 1396 val resultOnehot = VecInit((0 until xs.length).map(i => Cat((0 until xs.length).map(j => 1397 (if (j < i) !xs(j).valid || compareVec(i)(j) 1398 else if (j == i) xs(i).valid 1399 else !xs(j).valid || !compareVec(j)(i)) 1400 )).andR)) 1401 resultOnehot 1402 } 1403 val allRedirect = loadUnits.map(_.io.rollback) ++ hybridUnits.map(_.io.ldu_io.rollback) ++ lsq.io.nack_rollback ++ lsq.io.nuke_rollback 1404 val oldestOneHot = selectOldestRedirect(allRedirect) 1405 val oldestRedirect = WireDefault(Mux1H(oldestOneHot, allRedirect)) 1406 // memory replay would not cause IAF/IPF/IGPF 1407 oldestRedirect.bits.cfiUpdate.backendIAF := false.B 1408 oldestRedirect.bits.cfiUpdate.backendIPF := false.B 1409 oldestRedirect.bits.cfiUpdate.backendIGPF := false.B 1410 io.mem_to_ooo.memoryViolation := oldestRedirect 1411 io.mem_to_ooo.lsqio.lqCanAccept := lsq.io.lqCanAccept 1412 io.mem_to_ooo.lsqio.sqCanAccept := lsq.io.sqCanAccept 1413 1414 // lsq.io.uncache <> uncache.io.lsq 1415 val s_idle :: s_scalar_uncache :: s_vector_uncache :: Nil = Enum(3) 1416 val uncacheState = RegInit(s_idle) 1417 val uncacheReq = Wire(Decoupled(new UncacheWordReq)) 1418 val uncacheIdResp = uncache.io.lsq.idResp 1419 val uncacheResp = Wire(Decoupled(new UncacheWordResp)) 1420 1421 uncacheReq.bits := DontCare 1422 uncacheReq.valid := false.B 1423 uncacheReq.ready := false.B 1424 uncacheResp.bits := DontCare 1425 uncacheResp.valid := false.B 1426 uncacheResp.ready := false.B 1427 lsq.io.uncache.req.ready := false.B 1428 lsq.io.uncache.idResp.valid := false.B 1429 lsq.io.uncache.idResp.bits := DontCare 1430 lsq.io.uncache.resp.valid := false.B 1431 lsq.io.uncache.resp.bits := DontCare 1432 1433 switch (uncacheState) { 1434 is (s_idle) { 1435 when (uncacheReq.fire) { 1436 when (lsq.io.uncache.req.valid) { 1437 when (!lsq.io.uncache.req.bits.nc || !io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1438 uncacheState := s_scalar_uncache 1439 } 1440 }.otherwise { 1441 // val isStore = vsFlowQueue.io.uncache.req.bits.cmd === MemoryOpConstants.M_XWR 1442 when (!io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1443 uncacheState := s_vector_uncache 1444 } 1445 } 1446 } 1447 } 1448 1449 is (s_scalar_uncache) { 1450 when (uncacheResp.fire) { 1451 uncacheState := s_idle 1452 } 1453 } 1454 1455 is (s_vector_uncache) { 1456 when (uncacheResp.fire) { 1457 uncacheState := s_idle 1458 } 1459 } 1460 } 1461 1462 when (lsq.io.uncache.req.valid) { 1463 uncacheReq <> lsq.io.uncache.req 1464 } 1465 when (io.ooo_to_mem.csrCtrl.uncache_write_outstanding_enable) { 1466 lsq.io.uncache.resp <> uncacheResp 1467 lsq.io.uncache.idResp <> uncacheIdResp 1468 }.otherwise { 1469 when (uncacheState === s_scalar_uncache) { 1470 lsq.io.uncache.resp <> uncacheResp 1471 lsq.io.uncache.idResp <> uncacheIdResp 1472 } 1473 } 1474 // delay dcache refill for 1 cycle for better timing 1475 AddPipelineReg(uncacheReq, uncache.io.lsq.req, false.B) 1476 AddPipelineReg(uncache.io.lsq.resp, uncacheResp, false.B) 1477 1478 //lsq.io.refill := delayedDcacheRefill 1479 lsq.io.release := dcache.io.lsu.release 1480 lsq.io.lqCancelCnt <> io.mem_to_ooo.lqCancelCnt 1481 lsq.io.sqCancelCnt <> io.mem_to_ooo.sqCancelCnt 1482 lsq.io.lqDeq <> io.mem_to_ooo.lqDeq 1483 lsq.io.sqDeq <> io.mem_to_ooo.sqDeq 1484 // Todo: assign these 1485 io.mem_to_ooo.sqDeqPtr := lsq.io.sqDeqPtr 1486 io.mem_to_ooo.lqDeqPtr := lsq.io.lqDeqPtr 1487 lsq.io.tl_d_channel <> dcache.io.lsu.tl_d_channel 1488 1489 // LSQ to store buffer 1490 lsq.io.sbuffer <> sbuffer.io.in 1491 sbuffer.io.in(0).valid := lsq.io.sbuffer(0).valid || vSegmentUnit.io.sbuffer.valid 1492 sbuffer.io.in(0).bits := Mux1H(Seq( 1493 vSegmentUnit.io.sbuffer.valid -> vSegmentUnit.io.sbuffer.bits, 1494 lsq.io.sbuffer(0).valid -> lsq.io.sbuffer(0).bits 1495 )) 1496 vSegmentUnit.io.sbuffer.ready := sbuffer.io.in(0).ready 1497 lsq.io.sqEmpty <> sbuffer.io.sqempty 1498 dcache.io.force_write := lsq.io.force_write 1499 1500 // Initialize when unenabled difftest. 1501 sbuffer.io.vecDifftestInfo := DontCare 1502 lsq.io.sbufferVecDifftestInfo := DontCare 1503 vSegmentUnit.io.vecDifftestInfo := DontCare 1504 if (env.EnableDifftest) { 1505 sbuffer.io.vecDifftestInfo .zipWithIndex.map{ case (sbufferPort, index) => 1506 if (index == 0) { 1507 val vSegmentDifftestValid = vSegmentUnit.io.vecDifftestInfo.valid 1508 sbufferPort.valid := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.valid, lsq.io.sbufferVecDifftestInfo(0).valid) 1509 sbufferPort.bits := Mux(vSegmentDifftestValid, vSegmentUnit.io.vecDifftestInfo.bits, lsq.io.sbufferVecDifftestInfo(0).bits) 1510 1511 vSegmentUnit.io.vecDifftestInfo.ready := sbufferPort.ready 1512 lsq.io.sbufferVecDifftestInfo(0).ready := sbufferPort.ready 1513 } else { 1514 sbufferPort <> lsq.io.sbufferVecDifftestInfo(index) 1515 } 1516 } 1517 } 1518 1519 // lsq.io.vecStoreRetire <> vsFlowQueue.io.sqRelease 1520 // lsq.io.vecWriteback.valid := vlWrapper.io.uopWriteback.fire && 1521 // vlWrapper.io.uopWriteback.bits.uop.vpu.lastUop 1522 // lsq.io.vecWriteback.bits := vlWrapper.io.uopWriteback.bits 1523 1524 // vector 1525 val vLoadCanAccept = (0 until VlduCnt).map(i => 1526 vlSplit(i).io.in.ready && VlduType.isVecLd(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1527 ) 1528 val vStoreCanAccept = (0 until VstuCnt).map(i => 1529 vsSplit(i).io.in.ready && VstuType.isVecSt(io.ooo_to_mem.issueVldu(i).bits.uop.fuOpType) 1530 ) 1531 val isSegment = io.ooo_to_mem.issueVldu.head.valid && isVsegls(io.ooo_to_mem.issueVldu.head.bits.uop.fuType) 1532 val isFixVlUop = io.ooo_to_mem.issueVldu.map{x => 1533 x.bits.uop.vpu.isVleff && x.bits.uop.vpu.lastUop && x.valid 1534 } 1535 1536 // init port 1537 /** 1538 * TODO: splited vsMergebuffer maybe remove, if one RS can accept two feedback, or don't need RS replay uop 1539 * for now: 1540 * RS0 -> VsSplit0 -> stu0 -> vsMergebuffer0 -> feedback -> RS0 1541 * RS1 -> VsSplit1 -> stu1 -> vsMergebuffer1 -> feedback -> RS1 1542 * 1543 * vector load don't need feedback 1544 * 1545 * RS0 -> VlSplit0 -> ldu0 -> | 1546 * RS1 -> VlSplit1 -> ldu1 -> | -> vlMergebuffer 1547 * replayIO -> ldu3 -> | 1548 * */ 1549 (0 until VstuCnt).foreach{i => 1550 vsMergeBuffer(i).io.fromPipeline := DontCare 1551 vsMergeBuffer(i).io.fromSplit := DontCare 1552 1553 vsMergeBuffer(i).io.fromMisalignBuffer.get.flush := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).flush 1554 vsMergeBuffer(i).io.fromMisalignBuffer.get.mbIndex := storeMisalignBuffer.io.toVecStoreMergeBuffer(i).mbIndex 1555 } 1556 1557 (0 until VstuCnt).foreach{i => 1558 vsSplit(i).io.redirect <> redirect 1559 vsSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1560 vsSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1561 vStoreCanAccept(i) && !isSegment 1562 vsSplit(i).io.toMergeBuffer <> vsMergeBuffer(i).io.fromSplit.head 1563 NewPipelineConnect( 1564 vsSplit(i).io.out, storeUnits(i).io.vecstin, storeUnits(i).io.vecstin.fire, 1565 Mux(vsSplit(i).io.out.fire, vsSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), storeUnits(i).io.vecstin.bits.uop.robIdx.needFlush(io.redirect)), 1566 Option("VsSplitConnectStu") 1567 ) 1568 vsSplit(i).io.vstd.get := DontCare // Todo: Discuss how to pass vector store data 1569 1570 vsSplit(i).io.vstdMisalign.get.storeMisalignBufferEmpty := !storeMisalignBuffer.io.full 1571 vsSplit(i).io.vstdMisalign.get.storePipeEmpty := !storeUnits(i).io.s0_s1_valid 1572 1573 } 1574 (0 until VlduCnt).foreach{i => 1575 vlSplit(i).io.redirect <> redirect 1576 vlSplit(i).io.in <> io.ooo_to_mem.issueVldu(i) 1577 vlSplit(i).io.in.valid := io.ooo_to_mem.issueVldu(i).valid && 1578 vLoadCanAccept(i) && !isSegment && !isFixVlUop(i) 1579 vlSplit(i).io.toMergeBuffer <> vlMergeBuffer.io.fromSplit(i) 1580 vlSplit(i).io.threshold.get.valid := vlMergeBuffer.io.toSplit.get.threshold 1581 vlSplit(i).io.threshold.get.bits := lsq.io.lqDeqPtr 1582 NewPipelineConnect( 1583 vlSplit(i).io.out, loadUnits(i).io.vecldin, loadUnits(i).io.vecldin.fire, 1584 Mux(vlSplit(i).io.out.fire, vlSplit(i).io.out.bits.uop.robIdx.needFlush(io.redirect), loadUnits(i).io.vecldin.bits.uop.robIdx.needFlush(io.redirect)), 1585 Option("VlSplitConnectLdu") 1586 ) 1587 1588 //Subsequent instrction will be blocked 1589 vfofBuffer.io.in(i).valid := io.ooo_to_mem.issueVldu(i).valid 1590 vfofBuffer.io.in(i).bits := io.ooo_to_mem.issueVldu(i).bits 1591 } 1592 (0 until LduCnt).foreach{i=> 1593 loadUnits(i).io.vecldout.ready := vlMergeBuffer.io.fromPipeline(i).ready 1594 loadMisalignBuffer.io.vecWriteBack.ready := true.B 1595 1596 if (i == MisalignWBPort) { 1597 when(loadUnits(i).io.vecldout.valid) { 1598 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1599 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1600 } .otherwise { 1601 vlMergeBuffer.io.fromPipeline(i).valid := loadMisalignBuffer.io.vecWriteBack.valid 1602 vlMergeBuffer.io.fromPipeline(i).bits := loadMisalignBuffer.io.vecWriteBack.bits 1603 } 1604 } else { 1605 vlMergeBuffer.io.fromPipeline(i).valid := loadUnits(i).io.vecldout.valid 1606 vlMergeBuffer.io.fromPipeline(i).bits := loadUnits(i).io.vecldout.bits 1607 } 1608 } 1609 1610 (0 until StaCnt).foreach{i=> 1611 if(i < VstuCnt){ 1612 storeUnits(i).io.vecstout.ready := true.B 1613 storeMisalignBuffer.io.vecWriteBack(i).ready := vsMergeBuffer(i).io.fromPipeline.head.ready 1614 1615 when(storeUnits(i).io.vecstout.valid) { 1616 vsMergeBuffer(i).io.fromPipeline.head.valid := storeUnits(i).io.vecstout.valid 1617 vsMergeBuffer(i).io.fromPipeline.head.bits := storeUnits(i).io.vecstout.bits 1618 } .otherwise { 1619 vsMergeBuffer(i).io.fromPipeline.head.valid := storeMisalignBuffer.io.vecWriteBack(i).valid 1620 vsMergeBuffer(i).io.fromPipeline.head.bits := storeMisalignBuffer.io.vecWriteBack(i).bits 1621 } 1622 } 1623 } 1624 1625 (0 until VlduCnt).foreach{i=> 1626 io.ooo_to_mem.issueVldu(i).ready := vLoadCanAccept(i) || vStoreCanAccept(i) 1627 } 1628 1629 vlMergeBuffer.io.redirect <> redirect 1630 vsMergeBuffer.map(_.io.redirect <> redirect) 1631 (0 until VlduCnt).foreach{i=> 1632 vlMergeBuffer.io.toLsq(i) <> lsq.io.ldvecFeedback(i) 1633 } 1634 (0 until VstuCnt).foreach{i=> 1635 vsMergeBuffer(i).io.toLsq.head <> lsq.io.stvecFeedback(i) 1636 } 1637 1638 (0 until VlduCnt).foreach{i=> 1639 // send to RS 1640 vlMergeBuffer.io.feedback(i) <> io.mem_to_ooo.vlduIqFeedback(i).feedbackSlow 1641 io.mem_to_ooo.vlduIqFeedback(i).feedbackFast := DontCare 1642 } 1643 (0 until VstuCnt).foreach{i => 1644 // send to RS 1645 if (i == 0){ 1646 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.valid := vsMergeBuffer(i).io.feedback.head.valid || vSegmentUnit.io.feedback.valid 1647 io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow.bits := Mux1H(Seq( 1648 vSegmentUnit.io.feedback.valid -> vSegmentUnit.io.feedback.bits, 1649 vsMergeBuffer(i).io.feedback.head.valid -> vsMergeBuffer(i).io.feedback.head.bits 1650 )) 1651 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1652 } else { 1653 vsMergeBuffer(i).io.feedback.head <> io.mem_to_ooo.vstuIqFeedback(i).feedbackSlow 1654 io.mem_to_ooo.vstuIqFeedback(i).feedbackFast := DontCare 1655 } 1656 } 1657 1658 (0 until VlduCnt).foreach{i=> 1659 if (i == 0){ // for segmentUnit, segmentUnit use port0 writeback 1660 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vSegmentUnit.io.uopwriteback.valid 1661 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1662 vSegmentUnit.io.uopwriteback.valid -> vSegmentUnit.io.uopwriteback.bits, 1663 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1664 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1665 )) 1666 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vSegmentUnit.io.uopwriteback.valid 1667 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vSegmentUnit.io.uopwriteback.valid 1668 vSegmentUnit.io.uopwriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1669 } else if (i == 1) { 1670 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid || vfofBuffer.io.uopWriteback.valid 1671 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1672 vfofBuffer.io.uopWriteback.valid -> vfofBuffer.io.uopWriteback.bits, 1673 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1674 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1675 )) 1676 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready && !vfofBuffer.io.uopWriteback.valid 1677 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid && !vfofBuffer.io.uopWriteback.valid 1678 vfofBuffer.io.uopWriteback.ready := io.mem_to_ooo.writebackVldu(i).ready 1679 } else { 1680 io.mem_to_ooo.writebackVldu(i).valid := vlMergeBuffer.io.uopWriteback(i).valid || vsMergeBuffer(i).io.uopWriteback.head.valid 1681 io.mem_to_ooo.writebackVldu(i).bits := PriorityMux(Seq( 1682 vlMergeBuffer.io.uopWriteback(i).valid -> vlMergeBuffer.io.uopWriteback(i).bits, 1683 vsMergeBuffer(i).io.uopWriteback.head.valid -> vsMergeBuffer(i).io.uopWriteback.head.bits, 1684 )) 1685 vlMergeBuffer.io.uopWriteback(i).ready := io.mem_to_ooo.writebackVldu(i).ready 1686 vsMergeBuffer(i).io.uopWriteback.head.ready := io.mem_to_ooo.writebackVldu(i).ready && !vlMergeBuffer.io.uopWriteback(i).valid 1687 } 1688 1689 vfofBuffer.io.mergeUopWriteback(i).valid := vlMergeBuffer.io.uopWriteback(i).valid 1690 vfofBuffer.io.mergeUopWriteback(i).bits := vlMergeBuffer.io.uopWriteback(i).bits 1691 } 1692 1693 1694 vfofBuffer.io.redirect <> redirect 1695 1696 // Sbuffer 1697 sbuffer.io.csrCtrl <> csrCtrl 1698 sbuffer.io.dcache <> dcache.io.lsu.store 1699 sbuffer.io.memSetPattenDetected := dcache.io.memSetPattenDetected 1700 sbuffer.io.force_write <> lsq.io.force_write 1701 // flush sbuffer 1702 val cmoFlush = lsq.io.flushSbuffer.valid 1703 val fenceFlush = io.ooo_to_mem.flushSb 1704 val atomicsFlush = atomicsUnit.io.flush_sbuffer.valid || vSegmentUnit.io.flush_sbuffer.valid 1705 val stIsEmpty = sbuffer.io.flush.empty && uncache.io.flush.empty 1706 io.mem_to_ooo.sbIsEmpty := RegNext(stIsEmpty) 1707 1708 // if both of them tries to flush sbuffer at the same time 1709 // something must have gone wrong 1710 assert(!(fenceFlush && atomicsFlush && cmoFlush)) 1711 sbuffer.io.flush.valid := RegNext(fenceFlush || atomicsFlush || cmoFlush) 1712 uncache.io.flush.valid := sbuffer.io.flush.valid 1713 1714 // AtomicsUnit: AtomicsUnit will override other control signials, 1715 // as atomics insts (LR/SC/AMO) will block the pipeline 1716 val s_normal +: s_atomics = Enum(StaCnt + HyuCnt + 1) 1717 val state = RegInit(s_normal) 1718 1719 val st_atomics = Seq.tabulate(StaCnt)(i => 1720 io.ooo_to_mem.issueSta(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueSta(i).bits.uop.fuType)) 1721 ) ++ Seq.tabulate(HyuCnt)(i => 1722 io.ooo_to_mem.issueHya(i).valid && FuType.storeIsAMO((io.ooo_to_mem.issueHya(i).bits.uop.fuType)) 1723 ) 1724 1725 for (i <- 0 until StaCnt) when(st_atomics(i)) { 1726 io.ooo_to_mem.issueSta(i).ready := atomicsUnit.io.in.ready 1727 storeUnits(i).io.stin.valid := false.B 1728 1729 state := s_atomics(i) 1730 } 1731 for (i <- 0 until HyuCnt) when(st_atomics(StaCnt + i)) { 1732 io.ooo_to_mem.issueHya(i).ready := atomicsUnit.io.in.ready 1733 hybridUnits(i).io.lsin.valid := false.B 1734 1735 state := s_atomics(StaCnt + i) 1736 assert(!st_atomics.zipWithIndex.filterNot(_._2 == StaCnt + i).unzip._1.reduce(_ || _)) 1737 } 1738 when (atomicsUnit.io.out.valid) { 1739 state := s_normal 1740 } 1741 1742 atomicsUnit.io.in.valid := st_atomics.reduce(_ || _) 1743 atomicsUnit.io.in.bits := Mux1H(Seq.tabulate(StaCnt)(i => 1744 st_atomics(i) -> io.ooo_to_mem.issueSta(i).bits) ++ 1745 Seq.tabulate(HyuCnt)(i => st_atomics(StaCnt+i) -> io.ooo_to_mem.issueHya(i).bits)) 1746 atomicsUnit.io.storeDataIn.zipWithIndex.foreach { case (stdin, i) => 1747 stdin.valid := st_data_atomics(i) 1748 stdin.bits := stData(i).bits 1749 } 1750 atomicsUnit.io.redirect <> redirect 1751 1752 // TODO: complete amo's pmp support 1753 val amoTlb = dtlb_ld(0).requestor(0) 1754 atomicsUnit.io.dtlb.resp.valid := false.B 1755 atomicsUnit.io.dtlb.resp.bits := DontCare 1756 atomicsUnit.io.dtlb.req.ready := amoTlb.req.ready 1757 atomicsUnit.io.pmpResp := pmp_check(0).resp 1758 1759 atomicsUnit.io.dcache <> dcache.io.lsu.atomics 1760 atomicsUnit.io.flush_sbuffer.empty := stIsEmpty 1761 1762 atomicsUnit.io.csrCtrl := csrCtrl 1763 1764 // for atomicsUnit, it uses loadUnit(0)'s TLB port 1765 1766 when (state =/= s_normal) { 1767 // use store wb port instead of load 1768 loadUnits(0).io.ldout.ready := false.B 1769 // use load_0's TLB 1770 atomicsUnit.io.dtlb <> amoTlb 1771 1772 // hw prefetch should be disabled while executing atomic insts 1773 loadUnits.map(i => i.io.prefetch_req.valid := false.B) 1774 1775 // make sure there's no in-flight uops in load unit 1776 assert(!loadUnits(0).io.ldout.valid) 1777 } 1778 1779 lsq.io.flushSbuffer.empty := sbuffer.io.sbempty 1780 1781 for (i <- 0 until StaCnt) { 1782 when (state === s_atomics(i)) { 1783 io.mem_to_ooo.staIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1784 assert(!storeUnits(i).io.feedback_slow.valid) 1785 } 1786 } 1787 for (i <- 0 until HyuCnt) { 1788 when (state === s_atomics(StaCnt + i)) { 1789 io.mem_to_ooo.hyuIqFeedback(i).feedbackSlow := atomicsUnit.io.feedbackSlow 1790 assert(!hybridUnits(i).io.feedback_slow.valid) 1791 } 1792 } 1793 1794 lsq.io.exceptionAddr.isStore := io.ooo_to_mem.isStoreException 1795 // Exception address is used several cycles after flush. 1796 // We delay it by 10 cycles to ensure its flush safety. 1797 val atomicsException = RegInit(false.B) 1798 when (DelayN(redirect.valid, 10) && atomicsException) { 1799 atomicsException := false.B 1800 }.elsewhen (atomicsUnit.io.exceptionInfo.valid) { 1801 atomicsException := true.B 1802 } 1803 1804 val misalignBufExceptionOverwrite = loadMisalignBuffer.io.overwriteExpBuf.valid || storeMisalignBuffer.io.overwriteExpBuf.valid 1805 val misalignBufExceptionVaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1806 loadMisalignBuffer.io.overwriteExpBuf.vaddr, 1807 storeMisalignBuffer.io.overwriteExpBuf.vaddr 1808 ) 1809 val misalignBufExceptionIsHyper = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1810 loadMisalignBuffer.io.overwriteExpBuf.isHyper, 1811 storeMisalignBuffer.io.overwriteExpBuf.isHyper 1812 ) 1813 val misalignBufExceptionGpaddr = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1814 loadMisalignBuffer.io.overwriteExpBuf.gpaddr, 1815 storeMisalignBuffer.io.overwriteExpBuf.gpaddr 1816 ) 1817 val misalignBufExceptionIsForVSnonLeafPTE = Mux(loadMisalignBuffer.io.overwriteExpBuf.valid, 1818 loadMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE, 1819 storeMisalignBuffer.io.overwriteExpBuf.isForVSnonLeafPTE 1820 ) 1821 1822 val vSegmentException = RegInit(false.B) 1823 when (DelayN(redirect.valid, 10) && vSegmentException) { 1824 vSegmentException := false.B 1825 }.elsewhen (vSegmentUnit.io.exceptionInfo.valid) { 1826 vSegmentException := true.B 1827 } 1828 val atomicsExceptionAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.vaddr, atomicsUnit.io.exceptionInfo.valid) 1829 val vSegmentExceptionVstart = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vstart, vSegmentUnit.io.exceptionInfo.valid) 1830 val vSegmentExceptionVl = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vl, vSegmentUnit.io.exceptionInfo.valid) 1831 val vSegmentExceptionAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.vaddr, vSegmentUnit.io.exceptionInfo.valid) 1832 val atomicsExceptionGPAddress = RegEnable(atomicsUnit.io.exceptionInfo.bits.gpaddr, atomicsUnit.io.exceptionInfo.valid) 1833 val vSegmentExceptionGPAddress = RegEnable(vSegmentUnit.io.exceptionInfo.bits.gpaddr, vSegmentUnit.io.exceptionInfo.valid) 1834 val atomicsExceptionIsForVSnonLeafPTE = RegEnable(atomicsUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, atomicsUnit.io.exceptionInfo.valid) 1835 val vSegmentExceptionIsForVSnonLeafPTE = RegEnable(vSegmentUnit.io.exceptionInfo.bits.isForVSnonLeafPTE, vSegmentUnit.io.exceptionInfo.valid) 1836 1837 val exceptionVaddr = Mux( 1838 atomicsException, 1839 atomicsExceptionAddress, 1840 Mux(misalignBufExceptionOverwrite, 1841 misalignBufExceptionVaddr, 1842 Mux(vSegmentException, 1843 vSegmentExceptionAddress, 1844 lsq.io.exceptionAddr.vaddr 1845 ) 1846 ) 1847 ) 1848 // whether vaddr need ext or is hyper inst: 1849 // VaNeedExt: atomicsException -> false; misalignBufExceptionOverwrite -> true; vSegmentException -> false 1850 // IsHyper: atomicsException -> false; vSegmentException -> false 1851 val exceptionVaNeedExt = !atomicsException && 1852 (misalignBufExceptionOverwrite || 1853 (!vSegmentException && lsq.io.exceptionAddr.vaNeedExt)) 1854 val exceptionIsHyper = !atomicsException && 1855 (misalignBufExceptionOverwrite && misalignBufExceptionIsHyper || 1856 (!vSegmentException && lsq.io.exceptionAddr.isHyper && !misalignBufExceptionOverwrite)) 1857 1858 def GenExceptionVa( 1859 mode: UInt, isVirt: Bool, vaNeedExt: Bool, 1860 satp: TlbSatpBundle, vsatp: TlbSatpBundle, hgatp: TlbHgatpBundle, 1861 vaddr: UInt 1862 ) = { 1863 require(VAddrBits >= 50) 1864 1865 val satpNone = satp.mode === 0.U 1866 val satpSv39 = satp.mode === 8.U 1867 val satpSv48 = satp.mode === 9.U 1868 1869 val vsatpNone = vsatp.mode === 0.U 1870 val vsatpSv39 = vsatp.mode === 8.U 1871 val vsatpSv48 = vsatp.mode === 9.U 1872 1873 val hgatpNone = hgatp.mode === 0.U 1874 val hgatpSv39x4 = hgatp.mode === 8.U 1875 val hgatpSv48x4 = hgatp.mode === 9.U 1876 1877 // For !isVirt, mode check is necessary, as we don't want virtual memory in M-mode. 1878 // For isVirt, mode check is unnecessary, as virt won't be 1 in M-mode. 1879 // Also, isVirt includes Hyper Insts, which don't care mode either. 1880 1881 val useBareAddr = 1882 (isVirt && vsatpNone && hgatpNone) || 1883 (!isVirt && (mode === CSRConst.ModeM)) || 1884 (!isVirt && (mode =/= CSRConst.ModeM) && satpNone) 1885 val useSv39Addr = 1886 (isVirt && vsatpSv39) || 1887 (!isVirt && (mode =/= CSRConst.ModeM) && satpSv39) 1888 val useSv48Addr = 1889 (isVirt && vsatpSv48) || 1890 (!isVirt && (mode =/= CSRConst.ModeM) && satpSv48) 1891 val useSv39x4Addr = isVirt && vsatpNone && hgatpSv39x4 1892 val useSv48x4Addr = isVirt && vsatpNone && hgatpSv48x4 1893 1894 val bareAddr = ZeroExt(vaddr(PAddrBits - 1, 0), XLEN) 1895 val sv39Addr = SignExt(vaddr.take(39), XLEN) 1896 val sv39x4Addr = ZeroExt(vaddr.take(39 + 2), XLEN) 1897 val sv48Addr = SignExt(vaddr.take(48), XLEN) 1898 val sv48x4Addr = ZeroExt(vaddr.take(48 + 2), XLEN) 1899 1900 val ExceptionVa = Wire(UInt(XLEN.W)) 1901 when (vaNeedExt) { 1902 ExceptionVa := Mux1H(Seq( 1903 (useBareAddr) -> bareAddr, 1904 (useSv39Addr) -> sv39Addr, 1905 (useSv48Addr) -> sv48Addr, 1906 (useSv39x4Addr) -> sv39x4Addr, 1907 (useSv48x4Addr) -> sv48x4Addr, 1908 )) 1909 } .otherwise { 1910 ExceptionVa := vaddr 1911 } 1912 1913 ExceptionVa 1914 } 1915 1916 io.mem_to_ooo.lsqio.vaddr := RegNext( 1917 GenExceptionVa(tlbcsr.priv.dmode, tlbcsr.priv.virt || exceptionIsHyper, exceptionVaNeedExt, 1918 tlbcsr.satp, tlbcsr.vsatp, tlbcsr.hgatp, exceptionVaddr) 1919 ) 1920 1921 // vsegment instruction is executed atomic, which mean atomicsException and vSegmentException should not raise at the same time. 1922 XSError(atomicsException && vSegmentException, "atomicsException and vSegmentException raise at the same time!") 1923 io.mem_to_ooo.lsqio.vstart := RegNext(Mux(vSegmentException, 1924 vSegmentExceptionVstart, 1925 lsq.io.exceptionAddr.vstart) 1926 ) 1927 io.mem_to_ooo.lsqio.vl := RegNext(Mux(vSegmentException, 1928 vSegmentExceptionVl, 1929 lsq.io.exceptionAddr.vl) 1930 ) 1931 1932 XSError(atomicsException && atomicsUnit.io.in.valid, "new instruction before exception triggers\n") 1933 io.mem_to_ooo.lsqio.gpaddr := RegNext(Mux( 1934 atomicsException, 1935 atomicsExceptionGPAddress, 1936 Mux(misalignBufExceptionOverwrite, 1937 misalignBufExceptionGpaddr, 1938 Mux(vSegmentException, 1939 vSegmentExceptionGPAddress, 1940 lsq.io.exceptionAddr.gpaddr 1941 ) 1942 ) 1943 )) 1944 io.mem_to_ooo.lsqio.isForVSnonLeafPTE := RegNext(Mux( 1945 atomicsException, 1946 atomicsExceptionIsForVSnonLeafPTE, 1947 Mux(misalignBufExceptionOverwrite, 1948 misalignBufExceptionIsForVSnonLeafPTE, 1949 Mux(vSegmentException, 1950 vSegmentExceptionIsForVSnonLeafPTE, 1951 lsq.io.exceptionAddr.isForVSnonLeafPTE 1952 ) 1953 ) 1954 )) 1955 io.mem_to_ooo.topToBackendBypass match { case x => 1956 x.hartId := io.hartId 1957 x.l2FlushDone := RegNext(io.l2_flush_done) 1958 x.externalInterrupt.msip := outer.clint_int_sink.in.head._1(0) 1959 x.externalInterrupt.mtip := outer.clint_int_sink.in.head._1(1) 1960 x.externalInterrupt.meip := outer.plic_int_sink.in.head._1(0) 1961 x.externalInterrupt.seip := outer.plic_int_sink.in.last._1(0) 1962 x.externalInterrupt.debug := outer.debug_int_sink.in.head._1(0) 1963 x.externalInterrupt.nmi.nmi_31 := outer.nmi_int_sink.in.head._1(0) | outer.beu_local_int_sink.in.head._1(0) 1964 x.externalInterrupt.nmi.nmi_43 := outer.nmi_int_sink.in.head._1(1) 1965 x.msiInfo := DelayNWithValid(io.fromTopToBackend.msiInfo, 1) 1966 x.clintTime := DelayNWithValid(io.fromTopToBackend.clintTime, 1) 1967 } 1968 1969 io.memInfo.sqFull := RegNext(lsq.io.sqFull) 1970 io.memInfo.lqFull := RegNext(lsq.io.lqFull) 1971 io.memInfo.dcacheMSHRFull := RegNext(dcache.io.mshrFull) 1972 1973 io.inner_hartId := io.hartId 1974 io.inner_reset_vector := RegNext(io.outer_reset_vector) 1975 io.outer_cpu_halt := io.ooo_to_mem.backendToTopBypass.cpuHalted 1976 io.outer_l2_flush_en := io.ooo_to_mem.csrCtrl.flush_l2_enable 1977 io.outer_power_down_en := io.ooo_to_mem.csrCtrl.power_down_enable 1978 io.outer_cpu_critical_error := io.ooo_to_mem.backendToTopBypass.cpuCriticalError 1979 io.outer_msi_ack := io.ooo_to_mem.backendToTopBypass.msiAck 1980 io.outer_beu_errors_icache := RegNext(io.inner_beu_errors_icache) 1981 io.inner_hc_perfEvents <> RegNext(io.outer_hc_perfEvents) 1982 1983 // vector segmentUnit 1984 vSegmentUnit.io.in.bits <> io.ooo_to_mem.issueVldu.head.bits 1985 vSegmentUnit.io.in.valid := isSegment && io.ooo_to_mem.issueVldu.head.valid// is segment instruction 1986 vSegmentUnit.io.dtlb.resp.bits <> dtlb_reqs.take(LduCnt).head.resp.bits 1987 vSegmentUnit.io.dtlb.resp.valid <> dtlb_reqs.take(LduCnt).head.resp.valid 1988 vSegmentUnit.io.pmpResp <> pmp_check.head.resp 1989 vSegmentUnit.io.flush_sbuffer.empty := stIsEmpty 1990 vSegmentUnit.io.redirect <> redirect 1991 vSegmentUnit.io.rdcache.resp.bits := dcache.io.lsu.load(0).resp.bits 1992 vSegmentUnit.io.rdcache.resp.valid := dcache.io.lsu.load(0).resp.valid 1993 vSegmentUnit.io.rdcache.s2_bank_conflict := dcache.io.lsu.load(0).s2_bank_conflict 1994 // ------------------------- 1995 // Vector Segment Triggers 1996 // ------------------------- 1997 vSegmentUnit.io.fromCsrTrigger.tdataVec := tdata 1998 vSegmentUnit.io.fromCsrTrigger.tEnableVec := tEnable 1999 vSegmentUnit.io.fromCsrTrigger.triggerCanRaiseBpExp := triggerCanRaiseBpExp 2000 vSegmentUnit.io.fromCsrTrigger.debugMode := debugMode 2001 2002 // reset tree of MemBlock 2003 if (p(DebugOptionsKey).ResetGen) { 2004 val leftResetTree = ResetGenNode( 2005 Seq( 2006 ModuleNode(ptw), 2007 ModuleNode(ptw_to_l2_buffer), 2008 ModuleNode(lsq), 2009 ModuleNode(dtlb_st_tlb_st), 2010 ModuleNode(dtlb_prefetch_tlb_prefetch), 2011 ModuleNode(pmp) 2012 ) 2013 ++ pmp_checkers.map(ModuleNode(_)) 2014 ++ (if (prefetcherOpt.isDefined) Seq(ModuleNode(prefetcherOpt.get)) else Nil) 2015 ++ (if (l1PrefetcherOpt.isDefined) Seq(ModuleNode(l1PrefetcherOpt.get)) else Nil) 2016 ) 2017 val rightResetTree = ResetGenNode( 2018 Seq( 2019 ModuleNode(sbuffer), 2020 ModuleNode(dtlb_ld_tlb_ld), 2021 ModuleNode(dcache), 2022 ModuleNode(l1d_to_l2_buffer), 2023 CellNode(io.reset_backend) 2024 ) 2025 ) 2026 ResetGen(leftResetTree, reset, sim = false, io.dft_reset) 2027 ResetGen(rightResetTree, reset, sim = false, io.dft_reset) 2028 } else { 2029 io.reset_backend := DontCare 2030 } 2031 io.resetInFrontendBypass.toL2Top := io.resetInFrontendBypass.fromFrontend 2032 // trace interface 2033 val traceToL2Top = io.traceCoreInterfaceBypass.toL2Top 2034 val traceFromBackend = io.traceCoreInterfaceBypass.fromBackend 2035 traceFromBackend.fromEncoder := RegNext(traceToL2Top.fromEncoder) 2036 traceToL2Top.toEncoder.trap := RegEnable( 2037 traceFromBackend.toEncoder.trap, 2038 traceFromBackend.toEncoder.groups(0).valid && Itype.isTrap(traceFromBackend.toEncoder.groups(0).bits.itype) 2039 ) 2040 traceToL2Top.toEncoder.priv := RegEnable( 2041 traceFromBackend.toEncoder.priv, 2042 traceFromBackend.toEncoder.groups(0).valid 2043 ) 2044 (0 until TraceGroupNum).foreach { i => 2045 traceToL2Top.toEncoder.groups(i).valid := RegNext(traceFromBackend.toEncoder.groups(i).valid) 2046 traceToL2Top.toEncoder.groups(i).bits.iretire := RegNext(traceFromBackend.toEncoder.groups(i).bits.iretire) 2047 traceToL2Top.toEncoder.groups(i).bits.itype := RegNext(traceFromBackend.toEncoder.groups(i).bits.itype) 2048 traceToL2Top.toEncoder.groups(i).bits.ilastsize := RegEnable( 2049 traceFromBackend.toEncoder.groups(i).bits.ilastsize, 2050 traceFromBackend.toEncoder.groups(i).valid 2051 ) 2052 traceToL2Top.toEncoder.groups(i).bits.iaddr := RegEnable( 2053 traceFromBackend.toEncoder.groups(i).bits.iaddr, 2054 traceFromBackend.toEncoder.groups(i).valid 2055 ) + (RegEnable( 2056 traceFromBackend.toEncoder.groups(i).bits.ftqOffset.getOrElse(0.U), 2057 traceFromBackend.toEncoder.groups(i).valid 2058 ) << instOffsetBits) 2059 } 2060 2061 2062 io.mem_to_ooo.storeDebugInfo := DontCare 2063 // store event difftest information 2064 if (env.EnableDifftest) { 2065 (0 until EnsbufferWidth).foreach{i => 2066 io.mem_to_ooo.storeDebugInfo(i).robidx := sbuffer.io.vecDifftestInfo(i).bits.robIdx 2067 sbuffer.io.vecDifftestInfo(i).bits.pc := io.mem_to_ooo.storeDebugInfo(i).pc 2068 } 2069 } 2070 2071 // top-down info 2072 dcache.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2073 dtlbRepeater.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2074 lsq.io.debugTopDown.robHeadVaddr := io.debugTopDown.robHeadVaddr 2075 io.debugTopDown.toCore.robHeadMissInDCache := dcache.io.debugTopDown.robHeadMissInDCache 2076 io.debugTopDown.toCore.robHeadTlbReplay := lsq.io.debugTopDown.robHeadTlbReplay 2077 io.debugTopDown.toCore.robHeadTlbMiss := lsq.io.debugTopDown.robHeadTlbMiss 2078 io.debugTopDown.toCore.robHeadLoadVio := lsq.io.debugTopDown.robHeadLoadVio 2079 io.debugTopDown.toCore.robHeadLoadMSHR := lsq.io.debugTopDown.robHeadLoadMSHR 2080 dcache.io.debugTopDown.robHeadOtherReplay := lsq.io.debugTopDown.robHeadOtherReplay 2081 dcache.io.debugRolling := io.debugRolling 2082 2083 lsq.io.noUopsIssued := io.topDownInfo.toBackend.noUopsIssued 2084 io.topDownInfo.toBackend.lqEmpty := lsq.io.lqEmpty 2085 io.topDownInfo.toBackend.sqEmpty := lsq.io.sqEmpty 2086 io.topDownInfo.toBackend.l1Miss := dcache.io.l1Miss 2087 io.topDownInfo.toBackend.l2TopMiss.l2Miss := RegNext(io.topDownInfo.fromL2Top.l2Miss) 2088 io.topDownInfo.toBackend.l2TopMiss.l3Miss := RegNext(io.topDownInfo.fromL2Top.l3Miss) 2089 2090 val hyLdDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isLoad(x.bits.uop.fuType))) 2091 val hyStDeqCount = PopCount(io.ooo_to_mem.issueHya.map(x => x.valid && FuType.isStore(x.bits.uop.fuType))) 2092 val ldDeqCount = PopCount(io.ooo_to_mem.issueLda.map(_.valid)) +& hyLdDeqCount 2093 val stDeqCount = PopCount(io.ooo_to_mem.issueSta.take(StaCnt).map(_.valid)) +& hyStDeqCount 2094 val iqDeqCount = ldDeqCount +& stDeqCount 2095 XSPerfAccumulate("load_iq_deq_count", ldDeqCount) 2096 XSPerfHistogram("load_iq_deq_count", ldDeqCount, true.B, 0, LdExuCnt + 1) 2097 XSPerfAccumulate("store_iq_deq_count", stDeqCount) 2098 XSPerfHistogram("store_iq_deq_count", stDeqCount, true.B, 0, StAddrCnt + 1) 2099 XSPerfAccumulate("ls_iq_deq_count", iqDeqCount) 2100 2101 val pfevent = Module(new PFEvent) 2102 pfevent.io.distribute_csr := csrCtrl.distribute_csr 2103 val csrevents = pfevent.io.hpmevent.slice(16,24) 2104 2105 val perfFromUnits = (loadUnits ++ Seq(sbuffer, lsq, dcache)).flatMap(_.getPerfEvents) 2106 val perfFromPTW = perfEventsPTW.map(x => ("PTW_" + x._1, x._2)) 2107 val perfBlock = Seq(("ldDeqCount", ldDeqCount), 2108 ("stDeqCount", stDeqCount)) 2109 // let index = 0 be no event 2110 val allPerfEvents = Seq(("noEvent", 0.U)) ++ perfFromUnits ++ perfFromPTW ++ perfBlock 2111 2112 if (printEventCoding) { 2113 for (((name, inc), i) <- allPerfEvents.zipWithIndex) { 2114 println("MemBlock perfEvents Set", name, inc, i) 2115 } 2116 } 2117 2118 val allPerfInc = allPerfEvents.map(_._2.asTypeOf(new PerfEvent)) 2119 val perfEvents = HPerfMonitor(csrevents, allPerfInc).getPerfEvents 2120 generatePerfEvent() 2121 2122 private val mbistPl = MbistPipeline.PlaceMbistPipeline(Int.MaxValue, "MbistPipeMemBlk", hasMbist) 2123 private val mbistIntf = if(hasMbist) { 2124 val params = mbistPl.get.nodeParams 2125 val intf = Some(Module(new MbistInterface( 2126 params = Seq(params), 2127 ids = Seq(mbistPl.get.childrenIds), 2128 name = s"MbistIntfMemBlk", 2129 pipelineNum = 1 2130 ))) 2131 intf.get.toPipeline.head <> mbistPl.get.mbist 2132 mbistPl.get.registerCSV(intf.get.info, "MbistMemBlk") 2133 intf.get.mbist := DontCare 2134 dontTouch(intf.get.mbist) 2135 //TODO: add mbist controller connections here 2136 intf 2137 } else { 2138 None 2139 } 2140 private val sigFromSrams = if (hasDFT) Some(SramHelper.genBroadCastBundleTop()) else None 2141 private val cg = ClockGate.genTeSrc 2142 dontTouch(cg) 2143 2144 if (hasMbist) { 2145 cg.cgen := io.dft.get.cgen 2146 } else { 2147 cg.cgen := false.B 2148 } 2149 2150 // sram debug 2151 sigFromSrams.foreach({ case sig => sig := DontCare }) 2152 sigFromSrams.zip(io.dft).foreach { 2153 case (sig, dft) => 2154 if (hasMbist) { 2155 sig.ram_hold := dft.ram_hold 2156 sig.ram_bypass := dft.ram_bypass 2157 sig.ram_bp_clken := dft.ram_bp_clken 2158 sig.ram_aux_clk := dft.ram_aux_clk 2159 sig.ram_aux_ckbp := dft.ram_aux_ckbp 2160 sig.ram_mcp_hold := dft.ram_mcp_hold 2161 sig.cgen := dft.cgen 2162 } 2163 if (hasSramCtl) { 2164 sig.ram_ctl := RegNext(dft.ram_ctl) 2165 } 2166 } 2167 io.dft_frnt.zip(sigFromSrams).foreach({ case (a, b) => a := b }) 2168 io.dft_reset_frnt.zip(io.dft_reset).foreach({ case (a, b) => a := b }) 2169 io.dft_bcknd.zip(sigFromSrams).foreach({ case (a, b) => a := b }) 2170 io.dft_reset_bcknd.zip(io.dft_reset).foreach({ case (a, b) => a := b }) 2171} 2172 2173class MemBlock()(implicit p: Parameters) extends LazyModule 2174 with HasXSParameter { 2175 override def shouldBeInlined: Boolean = false 2176 2177 val inner = LazyModule(new MemBlockInlined()) 2178 2179 lazy val module = new MemBlockImp(this) 2180} 2181 2182class MemBlockImp(wrapper: MemBlock) extends LazyModuleImp(wrapper) { 2183 val io = IO(wrapper.inner.module.io.cloneType) 2184 val io_perf = IO(wrapper.inner.module.io_perf.cloneType) 2185 io <> wrapper.inner.module.io 2186 io_perf <> wrapper.inner.module.io_perf 2187 2188 if (p(DebugOptionsKey).ResetGen) { 2189 ResetGen( 2190 ResetGenNode(Seq(ModuleNode(wrapper.inner.module))), 2191 reset, sim = false, io.dft_reset 2192 ) 2193 } 2194} 2195