xref: /XiangShan/src/main/scala/xiangshan/mem/lsqueue/LoadQueueReplay.scala (revision d2b20d1a96e238e36a849bd253f65ec7b6a5db38)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16package xiangshan.mem
17
18import chisel3._
19import chisel3.util._
20import chipsalliance.rocketchip.config._
21import xiangshan._
22import xiangshan.backend.rob.{RobPtr, RobLsqIO}
23import xiangshan.cache._
24import xiangshan.backend.fu.fpu.FPU
25import xiangshan.cache._
26import xiangshan.frontend.FtqPtr
27import xiangshan.ExceptionNO._
28import xiangshan.cache.dcache.ReplayCarry
29import xiangshan.mem.mdp._
30import utils._
31import utility._
32
33object LoadReplayCauses {
34  // these causes have priority, lower coding has higher priority.
35  // when load replay happens, load unit will select highest priority
36  // from replay causes vector
37
38  /*
39   * Warning:
40   * ************************************************************
41   * * Don't change the priority. If the priority is changed,   *
42   * * deadlock may occur. If you really need to change or      *
43   * * add priority, please ensure that no deadlock will occur. *
44   * ************************************************************
45   *
46   */
47  // st-ld violation
48  val waitStore         = 0
49  // tlb miss check
50  val tlbMiss           = 1
51  // st-ld violation re-execute check
52  val schedError        = 2
53  // dcache bank conflict check
54  val bankConflict      = 3
55  // store-to-load-forwarding check
56  val forwardFail       = 4
57  // dcache replay check
58  val dcacheReplay      = 5
59  // dcache miss check
60  val dcacheMiss        = 6
61  // RAR queue accept check
62  val rarReject         = 7
63  // RAW queue accept check
64  val rawReject         = 8
65  // total causes
66  val allCauses         = 9
67}
68
69class AgeDetector(numEntries: Int, numEnq: Int, regOut: Boolean = true)(implicit p: Parameters) extends XSModule {
70  val io = IO(new Bundle {
71    // NOTE: deq and enq may come at the same cycle.
72    val enq = Vec(numEnq, Input(UInt(numEntries.W)))
73    val deq = Input(UInt(numEntries.W))
74    val ready = Input(UInt(numEntries.W))
75    val out = Output(UInt(numEntries.W))
76  })
77
78  // age(i)(j): entry i enters queue before entry j
79  val age = Seq.fill(numEntries)(Seq.fill(numEntries)(RegInit(false.B)))
80  val nextAge = Seq.fill(numEntries)(Seq.fill(numEntries)(Wire(Bool())))
81
82  // to reduce reg usage, only use upper matrix
83  def get_age(row: Int, col: Int): Bool = if (row <= col) age(row)(col) else !age(col)(row)
84  def get_next_age(row: Int, col: Int): Bool = if (row <= col) nextAge(row)(col) else !nextAge(col)(row)
85  def isFlushed(i: Int): Bool = io.deq(i)
86  def isEnqueued(i: Int, numPorts: Int = -1): Bool = {
87    val takePorts = if (numPorts == -1) io.enq.length else numPorts
88    takePorts match {
89      case 0 => false.B
90      case 1 => io.enq.head(i) && !isFlushed(i)
91      case n => VecInit(io.enq.take(n).map(_(i))).asUInt.orR && !isFlushed(i)
92    }
93  }
94
95  for ((row, i) <- nextAge.zipWithIndex) {
96    val thisValid = get_age(i, i) || isEnqueued(i)
97    for ((elem, j) <- row.zipWithIndex) {
98      when (isFlushed(i)) {
99        // (1) when entry i is flushed or dequeues, set row(i) to false.B
100        elem := false.B
101      }.elsewhen (isFlushed(j)) {
102        // (2) when entry j is flushed or dequeues, set column(j) to validVec
103        elem := thisValid
104      }.elsewhen (isEnqueued(i)) {
105        // (3) when entry i enqueues from port k,
106        // (3.1) if entry j enqueues from previous ports, set to false
107        // (3.2) otherwise, set to true if and only of entry j is invalid
108        // overall: !jEnqFromPreviousPorts && !jIsValid
109        val sel = io.enq.map(_(i))
110        val result = (0 until numEnq).map(k => isEnqueued(j, k))
111        // why ParallelMux: sel must be one-hot since enq is one-hot
112        elem := !get_age(j, j) && !ParallelMux(sel, result)
113      }.otherwise {
114        // default: unchanged
115        elem := get_age(i, j)
116      }
117      age(i)(j) := elem
118    }
119  }
120
121  def getOldest(get: (Int, Int) => Bool): UInt = {
122    VecInit((0 until numEntries).map(i => {
123      io.ready(i) & VecInit((0 until numEntries).map(j => if (i != j) !io.ready(j) || get(i, j) else true.B)).asUInt.andR
124    })).asUInt
125  }
126  val best = getOldest(get_age)
127  val nextBest = getOldest(get_next_age)
128
129  io.out := (if (regOut) best else nextBest)
130}
131
132object AgeDetector {
133  def apply(numEntries: Int, enq: Vec[UInt], deq: UInt, ready: UInt)(implicit p: Parameters): Valid[UInt] = {
134    val age = Module(new AgeDetector(numEntries, enq.length, regOut = true))
135    age.io.enq := enq
136    age.io.deq := deq
137    age.io.ready:= ready
138    val out = Wire(Valid(UInt(deq.getWidth.W)))
139    out.valid := age.io.out.orR
140    out.bits := age.io.out
141    out
142  }
143}
144
145
146class LoadQueueReplay(implicit p: Parameters) extends XSModule
147  with HasDCacheParameters
148  with HasCircularQueuePtrHelper
149  with HasLoadHelper
150  with HasPerfEvents
151{
152  val io = IO(new Bundle() {
153    val redirect = Flipped(ValidIO(new Redirect))
154    val enq = Vec(LoadPipelineWidth, Flipped(Decoupled(new LqWriteBundle)))
155    val storeAddrIn = Vec(StorePipelineWidth, Flipped(Valid(new LsPipelineBundle)))
156    val storeDataIn = Vec(StorePipelineWidth, Flipped(Valid(new ExuOutput)))
157    val replay = Vec(LoadPipelineWidth, Decoupled(new LsPipelineBundle))
158    val refill = Flipped(ValidIO(new Refill))
159    val stAddrReadySqPtr = Input(new SqPtr)
160    val stAddrReadyVec = Input(Vec(StoreQueueSize, Bool()))
161    val stDataReadySqPtr = Input(new SqPtr)
162    val stDataReadyVec = Input(Vec(StoreQueueSize, Bool()))
163    val sqEmpty = Input(Bool())
164    val lqFull = Output(Bool())
165    val ldWbPtr = Input(new LqPtr)
166    val tlbReplayDelayCycleCtrl = Vec(4, Input(UInt(ReSelectLen.W)))
167    val rarFull = Input(Bool())
168    val rawFull = Input(Bool())
169    val l2Hint  = Input(Valid(new L2ToL1Hint()))
170  })
171
172  println("LoadQueueReplay size: " + LoadQueueReplaySize)
173  //  LoadQueueReplay field:
174  //  +-----------+---------+-------+-------------+--------+
175  //  | Allocated | MicroOp | VAddr |    Cause    |  Flags |
176  //  +-----------+---------+-------+-------------+--------+
177  //  Allocated   : entry has been allocated already
178  //  MicroOp     : inst's microOp
179  //  VAddr       : virtual address
180  //  Cause       : replay cause
181  //  Flags       : rar/raw queue allocate flags
182  val allocated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B))) // The control signals need to explicitly indicate the initial value
183  val sleep = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
184  val uop = Reg(Vec(LoadQueueReplaySize, new MicroOp))
185  val vaddrModule = Module(new LqVAddrModule(
186    gen = UInt(VAddrBits.W),
187    numEntries = LoadQueueReplaySize,
188    numRead = LoadPipelineWidth,
189    numWrite = LoadPipelineWidth,
190    numWBank = LoadQueueNWriteBanks,
191    numWDelay = 2,
192    numCamPort = 0))
193  vaddrModule.io := DontCare
194  val debug_vaddr = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(VAddrBits.W))))
195  val cause = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(LoadReplayCauses.allCauses.W))))
196
197  // freeliset: store valid entries index.
198  // +---+---+--------------+-----+-----+
199  // | 0 | 1 |      ......  | n-2 | n-1 |
200  // +---+---+--------------+-----+-----+
201  val freeList = Module(new FreeList(
202    size = LoadQueueReplaySize,
203    allocWidth = LoadPipelineWidth,
204    freeWidth = 4,
205    moduleName = "LoadQueueReplay freelist"
206  ))
207  freeList.io := DontCare
208  /**
209   * used for re-select control
210   */
211  val credit = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
212  val selBlocked = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
213  //  Ptrs to control which cycle to choose
214  val blockPtrTlb = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
215  val blockPtrCache = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
216  val blockPtrOthers = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(2.W))))
217  //  Specific cycles to block
218  val blockCyclesTlb = Reg(Vec(4, UInt(ReSelectLen.W)))
219  blockCyclesTlb := io.tlbReplayDelayCycleCtrl
220  val blockCyclesCache = RegInit(VecInit(Seq(0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W))))
221  val blockCyclesOthers = RegInit(VecInit(Seq(0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W), 0.U(ReSelectLen.W))))
222  val blockSqIdx = Reg(Vec(LoadQueueReplaySize, new SqPtr))
223  // block causes
224  val blockByTlbMiss = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
225  val blockByForwardFail = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
226  val blockByWaitStore = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
227  val blockByCacheMiss = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
228  val blockByRARReject = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
229  val blockByRAWReject = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
230  val blockByOthers = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
231  // DCache miss block
232  val missMSHRId = RegInit(VecInit(List.fill(LoadQueueReplaySize)(0.U((log2Up(cfg.nMissEntries).W)))))
233  // Has this load already updated dcache replacement?
234  val replacementUpdated = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
235  val trueCacheMissReplay = WireInit(VecInit(cause.map(_(LoadReplayCauses.dcacheMiss))))
236  val creditUpdate = WireInit(VecInit(List.fill(LoadQueueReplaySize)(0.U(ReSelectLen.W))))
237  (0 until LoadQueueReplaySize).map(i => {
238    creditUpdate(i) := Mux(credit(i) > 0.U(ReSelectLen.W), credit(i)-1.U(ReSelectLen.W), credit(i))
239    selBlocked(i) := creditUpdate(i) =/= 0.U(ReSelectLen.W) || credit(i) =/= 0.U(ReSelectLen.W)
240  })
241  val replayCarryReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(ReplayCarry(0.U, false.B))))
242  val dataInLastBeatReg = RegInit(VecInit(List.fill(LoadQueueReplaySize)(false.B)))
243
244  /**
245   * Enqueue
246   */
247  val canEnqueue = io.enq.map(_.valid)
248  val cancelEnq = io.enq.map(enq => enq.bits.uop.robIdx.needFlush(io.redirect))
249  val needReplay = io.enq.map(enq => enq.bits.replayInfo.needReplay())
250  val hasExceptions = io.enq.map(enq => ExceptionNO.selectByFu(enq.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR && !enq.bits.tlbMiss)
251  val loadReplay = io.enq.map(enq => enq.bits.isLoadReplay)
252  val needEnqueue = VecInit((0 until LoadPipelineWidth).map(w => {
253    canEnqueue(w) && !cancelEnq(w) && needReplay(w) && !hasExceptions(w)
254  }))
255  val canFreeVec = VecInit((0 until LoadPipelineWidth).map(w => {
256    canEnqueue(w) && loadReplay(w) && (!needReplay(w) || hasExceptions(w))
257  }))
258
259  // select LoadPipelineWidth valid index.
260  val lqFull = freeList.io.empty
261  val lqFreeNums = freeList.io.validCount
262
263  // replay logic
264  // release logic generation
265  val storeAddrInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
266  val storeDataInSameCycleVec = Wire(Vec(LoadQueueReplaySize, Bool()))
267  val addrNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
268  val dataNotBlockVec = Wire(Vec(LoadQueueReplaySize, Bool()))
269  val storeAddrValidVec = addrNotBlockVec.asUInt | storeAddrInSameCycleVec.asUInt
270  val storeDataValidVec = dataNotBlockVec.asUInt | storeDataInSameCycleVec.asUInt
271
272  // store data valid check
273  val stAddrReadyVec = io.stAddrReadyVec
274  val stDataReadyVec = io.stDataReadyVec
275
276  for (i <- 0 until LoadQueueReplaySize) {
277    // dequeue
278    //  FIXME: store*Ptr is not accurate
279    dataNotBlockVec(i) := !isBefore(io.stDataReadySqPtr, blockSqIdx(i)) || stDataReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
280    addrNotBlockVec(i) := !isBefore(io.stAddrReadySqPtr, blockSqIdx(i)) || stAddrReadyVec(blockSqIdx(i).value) || io.sqEmpty // for better timing
281
282    // store address execute
283    storeAddrInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
284      io.storeAddrIn(w).valid &&
285      !io.storeAddrIn(w).bits.miss &&
286      blockSqIdx(i) === io.storeAddrIn(w).bits.uop.sqIdx
287    })).asUInt.orR // for better timing
288
289    // store data execute
290    storeDataInSameCycleVec(i) := VecInit((0 until StorePipelineWidth).map(w => {
291      io.storeDataIn(w).valid &&
292      blockSqIdx(i) === io.storeDataIn(w).bits.uop.sqIdx
293    })).asUInt.orR // for better timing
294
295  }
296
297  // store addr issue check
298  val stAddrDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
299  (0 until LoadQueueReplaySize).map(i => {
300    stAddrDeqVec(i) := allocated(i) && storeAddrValidVec(i)
301  })
302
303  // store data issue check
304  val stDataDeqVec = Wire(Vec(LoadQueueReplaySize, Bool()))
305  (0 until LoadQueueReplaySize).map(i => {
306    stDataDeqVec(i) := allocated(i) && storeDataValidVec(i)
307  })
308
309  // update block condition
310  (0 until LoadQueueReplaySize).map(i => {
311    blockByForwardFail(i) := Mux(blockByForwardFail(i) && stDataDeqVec(i), false.B, blockByForwardFail(i))
312    blockByWaitStore(i) := Mux(blockByWaitStore(i) && stAddrDeqVec(i), false.B, blockByWaitStore(i))
313    blockByCacheMiss(i) := Mux(blockByCacheMiss(i) && io.refill.valid && io.refill.bits.id === missMSHRId(i), false.B, blockByCacheMiss(i))
314
315    when (blockByCacheMiss(i) && io.refill.valid && io.refill.bits.id === missMSHRId(i)) { creditUpdate(i) := 0.U }
316    when (blockByRARReject(i) && (!io.rarFull || !isAfter(uop(i).lqIdx, io.ldWbPtr))) { blockByRARReject(i) := false.B }
317    when (blockByRAWReject(i) && (!io.rawFull || !isAfter(uop(i).sqIdx, io.stAddrReadySqPtr))) { blockByRAWReject(i) := false.B }
318    when (blockByTlbMiss(i) && creditUpdate(i) === 0.U) { blockByTlbMiss(i) := false.B }
319    when (blockByOthers(i) && creditUpdate(i) === 0.U) { blockByOthers(i) := false.B }
320  })
321
322  //  Replay is splitted into 3 stages
323  def getRemBits(input: UInt)(rem: Int): UInt = {
324    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })).asUInt
325  }
326
327  def getRemSeq(input: Seq[Seq[Bool]])(rem: Int) = {
328    (0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => { input(LoadPipelineWidth * i + rem) })
329  }
330
331  // stage1: select 2 entries and read their vaddr
332  val s1_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize).W))))
333  val s2_oldestSel = Wire(Vec(LoadPipelineWidth, Valid(UInt(log2Up(LoadQueueReplaySize).W))))
334
335  // generate mask
336  val needCancel = Wire(Vec(LoadQueueReplaySize, Bool()))
337  // generate enq mask
338  val selectIndexOH = Wire(Vec(LoadPipelineWidth, UInt(LoadQueueReplaySize.W)))
339  val loadEnqFireMask = io.enq.map(x => x.fire && !x.bits.isLoadReplay).zip(selectIndexOH).map(x => Mux(x._1, x._2, 0.U))
340  val remLoadEnqFireVec = loadEnqFireMask.map(x => VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(x)(rem))))
341  val remEnqSelVec = Seq.tabulate(LoadPipelineWidth)(w => VecInit(remLoadEnqFireVec.map(x => x(w))))
342
343  // generate free mask
344  val loadReplayFreeMask = io.enq.map(_.bits).zip(canFreeVec).map(x => Mux(x._2, UIntToOH(x._1.sleepIndex), 0.U)).reduce(_|_)
345  val loadFreeSelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
346    needCancel(i) || loadReplayFreeMask(i)
347  })).asUInt
348  val remFreeSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(loadFreeSelMask)(rem)))
349
350  // generate cancel mask
351  val loadReplayFireMask = (0 until LoadPipelineWidth).map(w => Mux(io.replay(w).fire, UIntToOH(s2_oldestSel(w).bits), 0.U)).reduce(_|_)
352  val loadCancelSelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
353    needCancel(i) || loadReplayFireMask(i)
354  })).asUInt
355  val remCancelSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => getRemBits(loadCancelSelMask)(rem)))
356
357  // l2 hint wakes up cache missed load
358  // l2 will send GrantData in next 2/3 cycle, wake up the missed load early and sent them to load pipe, so them will hit the data in D channel or mshr in load S1
359  val loadHintWakeMask = VecInit((0 until LoadQueueReplaySize).map(i => {
360    allocated(i) && sleep(i) && blockByCacheMiss(i) && missMSHRId(i) === io.l2Hint.bits.sourceId && io.l2Hint.valid
361  })).asUInt()
362  // l2 will send 2 beats data in 2 cycles, so if data needed by this load is in first beat, select it this cycle, otherwise next cycle
363  val loadHintSelMask = loadHintWakeMask & VecInit(dataInLastBeatReg.map(!_)).asUInt
364  val remLoadHintSelMask = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(loadHintSelMask)(rem)))
365  val hintSelValid = loadHintSelMask.orR
366
367  // wake up cache missed load
368  (0 until LoadQueueReplaySize).foreach(i => {
369    when(loadHintWakeMask(i)) {
370      blockByCacheMiss(i) := false.B
371      creditUpdate(i) := 0.U
372    }
373  })
374
375  // generate replay mask
376  // replay select priority is given as follow
377  // 1. hint wake up load
378  // 2. higher priority load
379  // 3. lower priority load
380  val loadHigherPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
381    val blocked = selBlocked(i) || blockByWaitStore(i) || blockByRARReject(i) || blockByRAWReject(i) || blockByOthers(i) || blockByForwardFail(i) || blockByCacheMiss(i) || blockByTlbMiss(i)
382    val hasHigherPriority = cause(i)(LoadReplayCauses.dcacheMiss) || cause(i)(LoadReplayCauses.forwardFail)
383    allocated(i) && sleep(i) && !blocked && !loadCancelSelMask(i) && hasHigherPriority
384  })).asUInt // use uint instead vec to reduce verilog lines
385  val loadLowerPriorityReplaySelMask = VecInit((0 until LoadQueueReplaySize).map(i => {
386    val blocked = selBlocked(i) || blockByWaitStore(i) || blockByRARReject(i) || blockByRAWReject(i) || blockByOthers(i) || blockByForwardFail(i) || blockByCacheMiss(i) || blockByTlbMiss(i)
387    val hasLowerPriority = !cause(i)(LoadReplayCauses.dcacheMiss) && !cause(i)(LoadReplayCauses.forwardFail)
388    allocated(i) && sleep(i) && !blocked && !loadCancelSelMask(i) && hasLowerPriority
389  })).asUInt // use uint instead vec to reduce verilog lines
390  val loadNormalReplaySelMask = loadLowerPriorityReplaySelMask | loadHigherPriorityReplaySelMask | loadHintSelMask
391  val remNormalReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(loadNormalReplaySelMask)(rem)))
392  val loadPriorityReplaySelMask = Mux(hintSelValid, loadHintSelMask, Mux(loadHigherPriorityReplaySelMask.orR, loadHigherPriorityReplaySelMask, loadLowerPriorityReplaySelMask))
393  val remPriorityReplaySelVec = VecInit((0 until LoadPipelineWidth).map(rem => getRemBits(loadPriorityReplaySelMask)(rem)))
394
395  /******************************************************************************************
396   * WARNING: Make sure that OldestSelectStride must less than or equal stages of load unit.*
397   ******************************************************************************************
398   */
399  val OldestSelectStride = 4
400  val oldestPtrExt = (0 until OldestSelectStride).map(i => io.ldWbPtr + i.U)
401  val oldestMatchMaskVec = (0 until LoadQueueReplaySize).map(i => (0 until OldestSelectStride).map(j => loadNormalReplaySelMask(i) && uop(i).lqIdx === oldestPtrExt(j)))
402  val remOldsetMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(oldestMatchMaskVec.map(_.take(1)))(rem))
403  val remOlderMatchMaskVec = (0 until LoadPipelineWidth).map(rem => getRemSeq(oldestMatchMaskVec.map(_.drop(1)))(rem))
404  val remOldestSelVec = VecInit(Seq.tabulate(LoadPipelineWidth)(rem => {
405    VecInit((0 until LoadQueueReplaySize / LoadPipelineWidth).map(i => {
406      Mux(VecInit(remOldsetMatchMaskVec(rem).map(_(0))).asUInt.orR, remOldsetMatchMaskVec(rem)(i)(0), remOlderMatchMaskVec(rem)(i).reduce(_|_))
407    })).asUInt
408  }))
409  val remOldestHintSelVec = remOldestSelVec.zip(remLoadHintSelMask).map {
410    case(oldestVec, hintVec) => oldestVec & hintVec
411  }
412
413  // select oldest logic
414  s1_oldestSel := VecInit((0 until LoadPipelineWidth).map(rport => {
415    // select enqueue earlest inst
416    val ageOldest = AgeDetector(LoadQueueReplaySize / LoadPipelineWidth, remEnqSelVec(rport), remFreeSelVec(rport), remPriorityReplaySelVec(rport))
417    assert(!(ageOldest.valid && PopCount(ageOldest.bits) > 1.U), "oldest index must be one-hot!")
418    val ageOldestValid = ageOldest.valid
419    val ageOldestIndex = OHToUInt(ageOldest.bits)
420
421    // select program order oldest
422    val issOldestValid = Mux(io.l2Hint.valid, remOldestHintSelVec(rport).orR, remOldestSelVec(rport).orR)
423    val issOldestIndex = Mux(io.l2Hint.valid, OHToUInt(PriorityEncoderOH(remOldestHintSelVec(rport))), OHToUInt(PriorityEncoderOH(remOldestSelVec(rport))))
424
425    val oldest = Wire(Valid(UInt()))
426    oldest.valid := ageOldest.valid || issOldestValid
427    oldest.bits := Cat(Mux(issOldestValid, issOldestIndex, ageOldestIndex), rport.U(log2Ceil(LoadPipelineWidth).W))
428    oldest
429  }))
430
431
432  // Replay port reorder
433  class BalanceEntry extends XSBundle {
434    val balance = Bool()
435    val index = UInt(log2Up(LoadQueueReplaySize).W)
436    val port = UInt(log2Up(LoadPipelineWidth).W)
437  }
438
439  def balanceReOrder(sel: Seq[ValidIO[BalanceEntry]]): Seq[ValidIO[BalanceEntry]] = {
440    require(sel.length > 0)
441    val balancePick = ParallelPriorityMux(sel.map(x => (x.valid && x.bits.balance) -> x))
442    val reorderSel = Wire(Vec(sel.length, ValidIO(new BalanceEntry)))
443    (0 until sel.length).map(i =>
444      if (i == 0) {
445        when (balancePick.valid && balancePick.bits.balance) {
446          reorderSel(i) := balancePick
447        } .otherwise {
448          reorderSel(i) := sel(i)
449        }
450      } else {
451        when (balancePick.valid && balancePick.bits.balance && i.U === balancePick.bits.port) {
452          reorderSel(i) := sel(0)
453        } .otherwise {
454          reorderSel(i) := sel(i)
455        }
456      }
457    )
458    reorderSel
459  }
460
461  // stage2: send replay request to load unit
462  // replay cold down
463  val ColdDownCycles = 16
464  val coldCounter = RegInit(VecInit(List.fill(LoadPipelineWidth)(0.U(log2Up(ColdDownCycles).W))))
465  val ColdDownThreshold = Wire(UInt(log2Up(ColdDownCycles).W))
466  ColdDownThreshold := Constantin.createRecord("ColdDownThreshold_"+p(XSCoreParamsKey).HartId.toString(), initValue = 12.U)
467  assert(ColdDownCycles.U > ColdDownThreshold, "ColdDownCycles must great than ColdDownThreshold!")
468
469  def replayCanFire(i: Int) = coldCounter(i) >= 0.U && coldCounter(i) < ColdDownThreshold
470  def coldDownNow(i: Int) = coldCounter(i) >= ColdDownThreshold
471
472  val s1_balanceOldestSelExt = (0 until LoadPipelineWidth).map(i => {
473    val wrapper = Wire(Valid(new BalanceEntry))
474    wrapper.valid := s1_oldestSel(i).valid
475    wrapper.bits.balance := cause(s1_oldestSel(i).bits)(LoadReplayCauses.bankConflict)
476    wrapper.bits.index := s1_oldestSel(i).bits
477    wrapper.bits.port := i.U
478    wrapper
479  })
480  val s1_balanceOldestSel = balanceReOrder(s1_balanceOldestSelExt)
481  (0 until LoadPipelineWidth).map(w => {
482    vaddrModule.io.raddr(w) := s1_balanceOldestSel(w).bits.index
483  })
484
485  for (i <- 0 until LoadPipelineWidth) {
486    val s2_replayIdx = RegNext(s1_balanceOldestSel(i).bits.index)
487    val s2_replayUop = uop(s2_replayIdx)
488    val s2_replayMSHRId = missMSHRId(s2_replayIdx)
489    val s2_replacementUpdated = replacementUpdated(s2_replayIdx)
490    val s2_replayCauses = cause(s2_replayIdx)
491    val s2_replayCarry = replayCarryReg(s2_replayIdx)
492    val s2_replayCacheMissReplay = trueCacheMissReplay(s2_replayIdx)
493    val cancelReplay = s2_replayUop.robIdx.needFlush(io.redirect)
494
495    val s2_loadCancelSelMask = RegNext(loadCancelSelMask)
496    s2_oldestSel(i).valid := RegNext(s1_balanceOldestSel(i).valid) && !s2_loadCancelSelMask(s2_replayIdx)
497    s2_oldestSel(i).bits := s2_replayIdx
498
499    io.replay(i).valid := s2_oldestSel(i).valid && !cancelReplay && replayCanFire(i)
500    io.replay(i).bits := DontCare
501    io.replay(i).bits.uop := s2_replayUop
502    io.replay(i).bits.vaddr := vaddrModule.io.rdata(i)
503    io.replay(i).bits.isFirstIssue := false.B
504    io.replay(i).bits.isLoadReplay := true.B
505    io.replay(i).bits.replayCarry := s2_replayCarry
506    io.replay(i).bits.mshrid := s2_replayMSHRId
507    io.replay(i).bits.replacementUpdated := s2_replacementUpdated
508    io.replay(i).bits.forward_tlDchannel := s2_replayCauses(LoadReplayCauses.dcacheMiss)
509    io.replay(i).bits.sleepIndex := s2_oldestSel(i).bits
510
511    when (io.replay(i).fire) {
512      sleep(s2_oldestSel(i).bits) := false.B
513      assert(allocated(s2_oldestSel(i).bits), s"LoadQueueReplay: why replay an invalid entry ${s2_oldestSel(i).bits} ?\n")
514    }
515  }
516
517  // update cold counter
518  val lastReplay = RegNext(VecInit(io.replay.map(_.fire)))
519  for (i <- 0 until LoadPipelineWidth) {
520    when (lastReplay(i) && io.replay(i).fire) {
521      coldCounter(i) := coldCounter(i) + 1.U
522    } .elsewhen (coldDownNow(i)) {
523      coldCounter(i) := coldCounter(i) + 1.U
524    } .otherwise {
525      coldCounter(i) := 0.U
526    }
527  }
528
529  when(io.refill.valid) {
530    XSDebug("miss resp: paddr:0x%x data %x\n", io.refill.bits.addr, io.refill.bits.data)
531  }
532
533  //  LoadQueueReplay deallocate
534  val freeMaskVec = Wire(Vec(LoadQueueReplaySize, Bool()))
535
536  // init
537  freeMaskVec.map(e => e := false.B)
538
539  // Allocate logic
540  val enqValidVec = Wire(Vec(LoadPipelineWidth, Bool()))
541  val enqIndexVec = Wire(Vec(LoadPipelineWidth, UInt()))
542  val enqOffset = Wire(Vec(LoadPipelineWidth, UInt()))
543
544  val newEnqueue = (0 until LoadPipelineWidth).map(i => {
545    needEnqueue(i) && !io.enq(i).bits.isLoadReplay
546  })
547
548  for ((enq, w) <- io.enq.zipWithIndex) {
549    vaddrModule.io.wen(w) := false.B
550    freeList.io.doAllocate(w) := false.B
551
552    enqOffset(w) := PopCount(newEnqueue.take(w))
553    freeList.io.allocateReq(w) := newEnqueue(w)
554
555    //  Allocated ready
556    enqValidVec(w) := freeList.io.canAllocate(enqOffset(w))
557    enqIndexVec(w) := Mux(enq.bits.isLoadReplay, enq.bits.sleepIndex, freeList.io.allocateSlot(enqOffset(w)))
558    selectIndexOH(w) := UIntToOH(enqIndexVec(w))
559    enq.ready := Mux(enq.bits.isLoadReplay, true.B, enqValidVec(w))
560
561    val enqIndex = enqIndexVec(w)
562    when (needEnqueue(w) && enq.ready) {
563
564      val debug_robIdx = enq.bits.uop.robIdx.asUInt
565      XSError(allocated(enqIndex) && !enq.bits.isLoadReplay, p"LoadQueueReplay: can not accept more load, check: ldu $w, robIdx $debug_robIdx!")
566      XSError(hasExceptions(w), p"LoadQueueReplay: The instruction has exception, it can not be replay, check: ldu $w, robIdx $debug_robIdx!")
567
568      freeList.io.doAllocate(w) := !enq.bits.isLoadReplay
569
570      //  Allocate new entry
571      allocated(enqIndex) := true.B
572      sleep(enqIndex) := true.B
573      uop(enqIndex) := enq.bits.uop
574
575      vaddrModule.io.wen(w) := true.B
576      vaddrModule.io.waddr(w) := enqIndex
577      vaddrModule.io.wdata(w) := enq.bits.vaddr
578      debug_vaddr(enqIndex) := enq.bits.vaddr
579
580      /**
581       * used for feedback and replay
582       */
583      // set flags
584      val replayInfo = enq.bits.replayInfo
585      val dataInLastBeat = replayInfo.dataInLastBeat
586      cause(enqIndex) := replayInfo.cause.asUInt
587
588      // update credit
589      val blockCyclesTlbPtr = blockPtrTlb(enqIndex)
590      val blockCyclesCachePtr = blockPtrCache(enqIndex)
591      val blockCyclesOtherPtr = blockPtrOthers(enqIndex)
592      creditUpdate(enqIndex) := Mux(replayInfo.cause(LoadReplayCauses.tlbMiss), blockCyclesTlb(blockCyclesTlbPtr),
593                                Mux(replayInfo.cause(LoadReplayCauses.dcacheMiss), blockCyclesCache(blockCyclesCachePtr) + dataInLastBeat, blockCyclesOthers(blockCyclesOtherPtr)))
594
595      // init
596      blockByTlbMiss(enqIndex) := false.B
597      blockByWaitStore(enqIndex) := false.B
598      blockByForwardFail(enqIndex) := false.B
599      blockByCacheMiss(enqIndex) := false.B
600      blockByRARReject(enqIndex) := false.B
601      blockByRAWReject(enqIndex) := false.B
602      blockByOthers(enqIndex) := false.B
603
604      // update block pointer
605      when (replayInfo.cause(LoadReplayCauses.dcacheReplay)) {
606        // normal case: dcache replay
607        blockByOthers(enqIndex) := true.B
608        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
609      } .elsewhen (replayInfo.cause(LoadReplayCauses.bankConflict) || replayInfo.cause(LoadReplayCauses.schedError)) {
610        // normal case: bank conflict or schedule error
611        // can replay next cycle
612        creditUpdate(enqIndex) := 0.U
613        blockByOthers(enqIndex) := false.B
614      }
615
616      // special case: tlb miss
617      when (replayInfo.cause(LoadReplayCauses.tlbMiss)) {
618        blockByTlbMiss(enqIndex) := true.B
619        blockPtrTlb(enqIndex) := Mux(blockPtrTlb(enqIndex) === 3.U(2.W), blockPtrTlb(enqIndex), blockPtrTlb(enqIndex) + 1.U(2.W))
620      }
621
622      // special case: dcache miss
623      when (replayInfo.cause(LoadReplayCauses.dcacheMiss) && enq.bits.handledByMSHR) {
624        blockByCacheMiss(enqIndex) := !replayInfo.canForwardFullData && //  dcache miss
625                                  !(io.refill.valid && io.refill.bits.id === replayInfo.missMSHRId) // no refill in this cycle
626
627        blockPtrCache(enqIndex) := Mux(blockPtrCache(enqIndex) === 3.U(2.W), blockPtrCache(enqIndex), blockPtrCache(enqIndex) + 1.U(2.W))
628      }
629
630      // special case: st-ld violation
631      when (replayInfo.cause(LoadReplayCauses.waitStore)) {
632        blockByWaitStore(enqIndex) := true.B
633        blockSqIdx(enqIndex) := replayInfo.addrInvalidSqIdx
634        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
635      }
636
637      // special case: data forward fail
638      when (replayInfo.cause(LoadReplayCauses.forwardFail)) {
639        blockByForwardFail(enqIndex) := true.B
640        blockSqIdx(enqIndex) := replayInfo.dataInvalidSqIdx
641        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
642      }
643
644      // special case: rar reject
645      when (replayInfo.cause(LoadReplayCauses.rarReject)) {
646        blockByRARReject(enqIndex) := true.B
647        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
648      }
649
650      // special case: raw reject
651      when (replayInfo.cause(LoadReplayCauses.rawReject)) {
652        blockByRAWReject(enqIndex) := true.B
653        blockPtrOthers(enqIndex) :=  Mux(blockPtrOthers(enqIndex) === 3.U(2.W), blockPtrOthers(enqIndex), blockPtrOthers(enqIndex) + 1.U(2.W))
654      }
655
656      // extra info
657      replayCarryReg(enqIndex) := replayInfo.replayCarry
658      replacementUpdated(enqIndex) := enq.bits.replacementUpdated
659      // update missMSHRId only when the load has already been handled by mshr
660      when(enq.bits.handledByMSHR) {
661        missMSHRId(enqIndex) := replayInfo.missMSHRId
662      }
663      dataInLastBeatReg(enqIndex) := dataInLastBeat
664    }
665
666    //
667    val sleepIndex = enq.bits.sleepIndex
668    when (enq.valid && enq.bits.isLoadReplay) {
669      when (!needReplay(w) || hasExceptions(w)) {
670        allocated(sleepIndex) := false.B
671        freeMaskVec(sleepIndex) := true.B
672      } .otherwise {
673        sleep(sleepIndex) := true.B
674      }
675    }
676  }
677
678  // misprediction recovery / exception redirect
679  for (i <- 0 until LoadQueueReplaySize) {
680    needCancel(i) := uop(i).robIdx.needFlush(io.redirect) && allocated(i)
681    when (needCancel(i)) {
682      allocated(i) := false.B
683      freeMaskVec(i) := true.B
684    }
685  }
686
687  freeList.io.free := freeMaskVec.asUInt
688
689  io.lqFull := lqFull
690
691  // Topdown
692  val sourceVaddr = WireInit(0.U.asTypeOf(new Valid(UInt(VAddrBits.W))))
693
694  ExcitingUtils.addSink(sourceVaddr, s"rob_head_vaddr_${coreParams.HartId}", ExcitingUtils.Perf)
695
696  val uop_wrapper = Wire(Vec(LoadQueueReplaySize, new XSBundleWithMicroOp))
697  (uop_wrapper.zipWithIndex).foreach {
698    case (u, i) => {
699      u.uop := uop(i)
700    }
701  }
702  val lq_match_vec = (debug_vaddr.zip(allocated)).map{case(va, alloc) => alloc && (va === sourceVaddr.bits)}
703  val rob_head_lq_match = ParallelOperation(lq_match_vec.zip(uop_wrapper), (a: Tuple2[Bool, XSBundleWithMicroOp], b: Tuple2[Bool, XSBundleWithMicroOp]) => {
704    val (a_v, a_uop) = (a._1, a._2)
705    val (b_v, b_uop) = (b._1, b._2)
706
707    val res = Mux(a_v && b_v, Mux(isAfter(a_uop.uop.robIdx, b_uop.uop.robIdx), b_uop, a_uop),
708                  Mux(a_v, a_uop,
709                      Mux(b_v, b_uop,
710                                a_uop)))
711    (a_v || b_v, res)
712  })
713
714  val lq_match_bits = rob_head_lq_match._2.uop
715  val lq_match = rob_head_lq_match._1 && sourceVaddr.valid
716  val lq_match_idx = lq_match_bits.lqIdx.value
717
718  val rob_head_tlb_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.tlbMiss)
719  val rob_head_sched_error = lq_match && cause(lq_match_idx)(LoadReplayCauses.schedError)
720  val rob_head_wait_store = lq_match && cause(lq_match_idx)(LoadReplayCauses.waitStore)
721  val rob_head_confilct_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.bankConflict)
722  val rob_head_forward_fail = lq_match && cause(lq_match_idx)(LoadReplayCauses.forwardFail)
723  val rob_head_mshrfull_replay = lq_match && cause(lq_match_idx)(LoadReplayCauses.dcacheReplay)
724  val rob_head_dcache_miss = lq_match && cause(lq_match_idx)(LoadReplayCauses.dcacheMiss)
725  val rob_head_rar_reject = lq_match && cause(lq_match_idx)(LoadReplayCauses.rarReject)
726  val rob_head_raw_reject = lq_match && cause(lq_match_idx)(LoadReplayCauses.rawReject)
727  val rob_head_other_replay    = lq_match && (rob_head_rar_reject || rob_head_raw_reject || rob_head_forward_fail)
728
729  val rob_head_vio_replay = rob_head_sched_error || rob_head_wait_store
730
731  val rob_head_miss_in_dtlb = WireInit(false.B)
732  ExcitingUtils.addSink(rob_head_miss_in_dtlb, s"miss_in_dtlb_${coreParams.HartId}", ExcitingUtils.Perf)
733  ExcitingUtils.addSource(rob_head_tlb_miss && !rob_head_miss_in_dtlb, s"load_tlb_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
734  ExcitingUtils.addSource(rob_head_tlb_miss &&  rob_head_miss_in_dtlb, s"load_tlb_miss_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
735  ExcitingUtils.addSource(rob_head_vio_replay, s"load_vio_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
736  ExcitingUtils.addSource(rob_head_mshrfull_replay, s"load_mshr_replay_stall_${coreParams.HartId}", ExcitingUtils.Perf, true)
737  // ExcitingUtils.addSource(rob_head_confilct_replay, s"load_l1_cache_stall_with_bank_conflict_${coreParams.HartId}", ExcitingUtils.Perf, true)
738  ExcitingUtils.addSource(rob_head_other_replay, s"rob_head_other_replay_${coreParams.HartId}", ExcitingUtils.Perf, true)
739  val perfValidCount = RegNext(PopCount(allocated))
740
741  //  perf cnt
742  val enqCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay))
743  val deqCount = PopCount(io.replay.map(_.fire))
744  val deqBlockCount = PopCount(io.replay.map(r => r.valid && !r.ready))
745  val replayTlbMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.tlbMiss)))
746  val replayWaitStoreCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.waitStore)))
747  val replaySchedErrorCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.schedError)))
748  val replayRARRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.rarReject)))
749  val replayRAWRejectCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.rawReject)))
750  val replayBankConflictCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.bankConflict)))
751  val replayDCacheReplayCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.dcacheReplay)))
752  val replayForwardFailCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.forwardFail)))
753  val replayDCacheMissCount = PopCount(io.enq.map(enq => enq.fire && !enq.bits.isLoadReplay && enq.bits.replayInfo.cause(LoadReplayCauses.dcacheMiss)))
754  XSPerfAccumulate("enq", enqCount)
755  XSPerfAccumulate("deq", deqCount)
756  XSPerfAccumulate("deq_block", deqBlockCount)
757  XSPerfAccumulate("replay_full", io.lqFull)
758  XSPerfAccumulate("replay_rar_reject", replayRARRejectCount)
759  XSPerfAccumulate("replay_raw_reject", replayRAWRejectCount)
760  XSPerfAccumulate("replay_sched_error", replaySchedErrorCount)
761  XSPerfAccumulate("replay_wait_store", replayWaitStoreCount)
762  XSPerfAccumulate("replay_tlb_miss", replayTlbMissCount)
763  XSPerfAccumulate("replay_bank_conflict", replayBankConflictCount)
764  XSPerfAccumulate("replay_dcache_replay", replayDCacheReplayCount)
765  XSPerfAccumulate("replay_forward_fail", replayForwardFailCount)
766  XSPerfAccumulate("replay_dcache_miss", replayDCacheMissCount)
767  XSPerfAccumulate("replay_hint_wakeup", hintSelValid)
768
769  val perfEvents: Seq[(String, UInt)] = Seq(
770    ("enq", enqCount),
771    ("deq", deqCount),
772    ("deq_block", deqBlockCount),
773    ("replay_full", io.lqFull),
774    ("replay_rar_reject", replayRARRejectCount),
775    ("replay_raw_reject", replayRAWRejectCount),
776    ("replay_advance_sched", replaySchedErrorCount),
777    ("replay_wait_store", replayWaitStoreCount),
778    ("replay_tlb_miss", replayTlbMissCount),
779    ("replay_bank_conflict", replayBankConflictCount),
780    ("replay_dcache_replay", replayDCacheReplayCount),
781    ("replay_forward_fail", replayForwardFailCount),
782    ("replay_dcache_miss", replayDCacheMissCount),
783  )
784  generatePerfEvent()
785  // end
786}
787