1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 233c02ee8fSwakafaimport utility._ 24024ee227SWilliam Wangimport xiangshan._ 2562cb71fbShappy-lximport xiangshan.cache.{AtomicWordIO, MemoryOpConstants, HasDCacheParameters} 26ca2f90a6SLemoverimport xiangshan.cache.mmu.{TlbCmd, TlbRequestIO} 272225d46eSJiawei Linimport difftest._ 286ab6918fSYinan Xuimport xiangshan.ExceptionNO._ 29ca2f90a6SLemoverimport xiangshan.backend.fu.PMPRespBundle 30024ee227SWilliam Wang 3162cb71fbShappy-lxclass AtomicsUnit(implicit p: Parameters) extends XSModule with MemoryOpConstants with HasDCacheParameters{ 32024ee227SWilliam Wang val io = IO(new Bundle() { 33f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 34024ee227SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 356ab6918fSYinan Xu val storeDataIn = Flipped(Valid(new ExuOutput)) // src2 from rs 36024ee227SWilliam Wang val out = Decoupled(new ExuOutput) 376786cfb7SWilliam Wang val dcache = new AtomicWordIO 3803efd994Shappy-lx val dtlb = new TlbRequestIO(2) 39ca2f90a6SLemover val pmpResp = Flipped(new PMPRespBundle()) 4064e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 41024ee227SWilliam Wang val flush_sbuffer = new SbufferFlushBundle 42d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback) 43024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 44*d0de7e4aSpeixiaokun val exceptionAddr = ValidIO(new Bundle { 45*d0de7e4aSpeixiaokun val vaddr = UInt(VAddrBits.W) 46*d0de7e4aSpeixiaokun val gpaddr = UInt(GPAddrBits.W) 47*d0de7e4aSpeixiaokun }) 48026615fcSWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 49024ee227SWilliam Wang }) 50024ee227SWilliam Wang 51024ee227SWilliam Wang //------------------------------------------------------- 52024ee227SWilliam Wang // Atomics Memory Accsess FSM 53024ee227SWilliam Wang //------------------------------------------------------- 5452180d7eShappy-lx val s_invalid :: s_tlb_and_flush_sbuffer_req :: s_pm :: s_wait_flush_sbuffer_resp :: s_cache_req :: s_cache_resp :: s_cache_resp_latch :: s_finish :: Nil = Enum(8) 55024ee227SWilliam Wang val state = RegInit(s_invalid) 564f39c746SYinan Xu val out_valid = RegInit(false.B) 571b7adedcSWilliam Wang val data_valid = RegInit(false.B) 58024ee227SWilliam Wang val in = Reg(new ExuInput()) 590d045bd0SYinan Xu val exceptionVec = RegInit(0.U.asTypeOf(ExceptionVec())) 60024ee227SWilliam Wang val atom_override_xtval = RegInit(false.B) 616fce12d9SWilliam Wang val have_sent_first_tlb_req = RegInit(false.B) 62bbd4b852SWilliam Wang val isLr = in.uop.ctrl.fuOpType === LSUOpType.lr_w || in.uop.ctrl.fuOpType === LSUOpType.lr_d 63024ee227SWilliam Wang // paddr after translation 64024ee227SWilliam Wang val paddr = Reg(UInt()) 65*d0de7e4aSpeixiaokun val gpaddr = Reg(UInt()) 66bbd4b852SWilliam Wang val vaddr = in.src(0) 67cff68e26SWilliam Wang val is_mmio = Reg(Bool()) 68f9ac118cSHaoyuan Feng 69024ee227SWilliam Wang // dcache response data 70024ee227SWilliam Wang val resp_data = Reg(UInt()) 71f97664b3Swangkaifan val resp_data_wire = WireInit(0.U) 72024ee227SWilliam Wang val is_lrsc_valid = Reg(Bool()) 7352180d7eShappy-lx // sbuffer is empty or not 7452180d7eShappy-lx val sbuffer_empty = io.flush_sbuffer.empty 75024ee227SWilliam Wang 76bbd4b852SWilliam Wang 778a5bdd64Swangkaifan // Difftest signals 788a5bdd64Swangkaifan val paddr_reg = Reg(UInt(64.W)) 798a5bdd64Swangkaifan val data_reg = Reg(UInt(64.W)) 808a5bdd64Swangkaifan val mask_reg = Reg(UInt(8.W)) 81f97664b3Swangkaifan val fuop_reg = Reg(UInt(8.W)) 828a5bdd64Swangkaifan 8311131ea4SYinan Xu io.exceptionAddr.valid := atom_override_xtval 84*d0de7e4aSpeixiaokun io.exceptionAddr.bits.vaddr := in.src(0) 85*d0de7e4aSpeixiaokun io.exceptionAddr.bits.gpaddr := gpaddr 86024ee227SWilliam Wang 87024ee227SWilliam Wang // assign default value to output signals 88024ee227SWilliam Wang io.in.ready := false.B 89024ee227SWilliam Wang 90024ee227SWilliam Wang io.dcache.req.valid := false.B 91024ee227SWilliam Wang io.dcache.req.bits := DontCare 92024ee227SWilliam Wang 93024ee227SWilliam Wang io.dtlb.req.valid := false.B 94024ee227SWilliam Wang io.dtlb.req.bits := DontCare 95c3b763d0SYinan Xu io.dtlb.req_kill := false.B 969930e66fSLemover io.dtlb.resp.ready := true.B 97024ee227SWilliam Wang 98024ee227SWilliam Wang io.flush_sbuffer.valid := false.B 99024ee227SWilliam Wang 100024ee227SWilliam Wang XSDebug("state: %d\n", state) 101024ee227SWilliam Wang 102024ee227SWilliam Wang when (state === s_invalid) { 103024ee227SWilliam Wang io.in.ready := true.B 1044f39c746SYinan Xu when (io.in.fire) { 105024ee227SWilliam Wang in := io.in.bits 1062bd5334dSYinan Xu in.src(1) := in.src(1) // leave src2 unchanged 10752180d7eShappy-lx state := s_tlb_and_flush_sbuffer_req 1086fce12d9SWilliam Wang have_sent_first_tlb_req := false.B 1091b7adedcSWilliam Wang } 11082d348fbSLemover } 11182d348fbSLemover 1124f39c746SYinan Xu when (io.storeDataIn.fire) { 1132bd5334dSYinan Xu in.src(1) := io.storeDataIn.bits.data 1141b7adedcSWilliam Wang data_valid := true.B 1151b7adedcSWilliam Wang } 116024ee227SWilliam Wang 1174f39c746SYinan Xu assert(!(io.storeDataIn.fire && data_valid), "atomic unit re-receive data") 1181b7adedcSWilliam Wang 119024ee227SWilliam Wang // Send TLB feedback to store issue queue 120024ee227SWilliam Wang // we send feedback right after we receives request 121024ee227SWilliam Wang // also, we always treat amo as tlb hit 122024ee227SWilliam Wang // since we will continue polling tlb all by ourself 123d87b76aaSWilliam Wang io.feedbackSlow.valid := RegNext(RegNext(io.in.valid)) 124d87b76aaSWilliam Wang io.feedbackSlow.bits.hit := true.B 125d87b76aaSWilliam Wang io.feedbackSlow.bits.rsIdx := RegEnable(io.rsIdx, io.in.valid) 126d87b76aaSWilliam Wang io.feedbackSlow.bits.flushState := DontCare 127d87b76aaSWilliam Wang io.feedbackSlow.bits.sourceType := DontCare 128c7160cd3SWilliam Wang io.feedbackSlow.bits.dataInvalidSqIdx := DontCare 129024ee227SWilliam Wang 130024ee227SWilliam Wang // tlb translation, manipulating signals && deal with exception 13152180d7eShappy-lx // at the same time, flush sbuffer 13252180d7eShappy-lx when (state === s_tlb_and_flush_sbuffer_req) { 133024ee227SWilliam Wang // send req to dtlb 134024ee227SWilliam Wang // keep firing until tlb hit 135024ee227SWilliam Wang io.dtlb.req.valid := true.B 1362bd5334dSYinan Xu io.dtlb.req.bits.vaddr := in.src(0) 1370fedb24cSWilliam Wang io.dtlb.resp.ready := true.B 1380fedb24cSWilliam Wang io.dtlb.req.bits.cmd := Mux(isLr, TlbCmd.atom_read, TlbCmd.atom_write) 139024ee227SWilliam Wang io.dtlb.req.bits.debug.pc := in.uop.cf.pc 140ee46cd6eSLemover io.dtlb.req.bits.debug.isFirstIssue := false.B 1418744445eSMaxpicca-Li io.out.bits.uop.debugInfo.tlbFirstReqTime := GTimer() // FIXME lyq: it will be always assigned 142024ee227SWilliam Wang 14352180d7eShappy-lx // send req to sbuffer to flush it if it is not empty 14452180d7eShappy-lx io.flush_sbuffer.valid := Mux(sbuffer_empty, false.B, true.B) 14552180d7eShappy-lx 1466fce12d9SWilliam Wang // do not accept tlb resp in the first cycle 1476fce12d9SWilliam Wang // this limition is for hw prefetcher 1486fce12d9SWilliam Wang // when !have_sent_first_tlb_req, tlb resp may come from hw prefetch 1496fce12d9SWilliam Wang have_sent_first_tlb_req := true.B 1506fce12d9SWilliam Wang 1516fce12d9SWilliam Wang when(io.dtlb.resp.fire && have_sent_first_tlb_req){ 15203efd994Shappy-lx paddr := io.dtlb.resp.bits.paddr(0) 153*d0de7e4aSpeixiaokun gpaddr := io.dtlb.resp.bits.gpaddr(0) 154024ee227SWilliam Wang // exception handling 155024ee227SWilliam Wang val addrAligned = LookupTree(in.uop.ctrl.fuOpType(1,0), List( 156024ee227SWilliam Wang "b00".U -> true.B, //b 1572bd5334dSYinan Xu "b01".U -> (in.src(0)(0) === 0.U), //h 1582bd5334dSYinan Xu "b10".U -> (in.src(0)(1,0) === 0.U), //w 1592bd5334dSYinan Xu "b11".U -> (in.src(0)(2,0) === 0.U) //d 160024ee227SWilliam Wang )) 1618c343485SWilliam Wang exceptionVec(loadAddrMisaligned) := !addrAligned && isLr 1628c343485SWilliam Wang exceptionVec(storeAddrMisaligned) := !addrAligned && !isLr 16303efd994Shappy-lx exceptionVec(storePageFault) := io.dtlb.resp.bits.excp(0).pf.st 16403efd994Shappy-lx exceptionVec(loadPageFault) := io.dtlb.resp.bits.excp(0).pf.ld 16503efd994Shappy-lx exceptionVec(storeAccessFault) := io.dtlb.resp.bits.excp(0).af.st 16603efd994Shappy-lx exceptionVec(loadAccessFault) := io.dtlb.resp.bits.excp(0).af.ld 167*d0de7e4aSpeixiaokun exceptionVec(storeGuestPageFault) := io.dtlb.resp.bits.excp(0).gpf.st 168*d0de7e4aSpeixiaokun exceptionVec(loadGuestPageFault) := io.dtlb.resp.bits.excp(0).gpf.ld 169e9092fe2SLemover 170e9092fe2SLemover when (!io.dtlb.resp.bits.miss) { 1718744445eSMaxpicca-Li io.out.bits.uop.debugInfo.tlbRespTime := GTimer() 172e9092fe2SLemover when (!addrAligned) { 173e9092fe2SLemover // NOTE: when addrAligned, do not need to wait tlb actually 174e9092fe2SLemover // check for miss aligned exceptions, tlb exception are checked next cycle for timing 175024ee227SWilliam Wang // if there are exceptions, no need to execute it 176024ee227SWilliam Wang state := s_finish 1774f39c746SYinan Xu out_valid := true.B 178024ee227SWilliam Wang atom_override_xtval := true.B 179024ee227SWilliam Wang } .otherwise { 180ca2f90a6SLemover state := s_pm 181024ee227SWilliam Wang } 182024ee227SWilliam Wang } 183024ee227SWilliam Wang } 184e9092fe2SLemover } 185024ee227SWilliam Wang 186ca2f90a6SLemover when (state === s_pm) { 187cba0a7e0SLemover val pmp = WireInit(io.pmpResp) 188cba0a7e0SLemover is_mmio := pmp.mmio 189f9ac118cSHaoyuan Feng 190e9092fe2SLemover // NOTE: only handle load/store exception here, if other exception happens, don't send here 191e9092fe2SLemover val exception_va = exceptionVec(storePageFault) || exceptionVec(loadPageFault) || 192e9092fe2SLemover exceptionVec(storeAccessFault) || exceptionVec(loadAccessFault) 1930fedb24cSWilliam Wang val exception_pa = pmp.st || pmp.ld 194e9092fe2SLemover when (exception_va || exception_pa) { 195ca2f90a6SLemover state := s_finish 1964f39c746SYinan Xu out_valid := true.B 197ca2f90a6SLemover atom_override_xtval := true.B 198ca2f90a6SLemover }.otherwise { 19952180d7eShappy-lx // if sbuffer has been flushed, go to query dcache, otherwise wait for sbuffer. 20052180d7eShappy-lx state := Mux(sbuffer_empty, s_cache_req, s_wait_flush_sbuffer_resp); 201ca2f90a6SLemover } 2020fedb24cSWilliam Wang // update storeAccessFault bit 2030fedb24cSWilliam Wang exceptionVec(loadAccessFault) := exceptionVec(loadAccessFault) || pmp.ld && isLr 2040fedb24cSWilliam Wang exceptionVec(storeAccessFault) := exceptionVec(storeAccessFault) || pmp.st || pmp.ld && !isLr 205ca2f90a6SLemover } 206024ee227SWilliam Wang 20752180d7eShappy-lx when (state === s_wait_flush_sbuffer_resp) { 20852180d7eShappy-lx when (sbuffer_empty) { 209024ee227SWilliam Wang state := s_cache_req 210024ee227SWilliam Wang } 211024ee227SWilliam Wang } 212024ee227SWilliam Wang 213024ee227SWilliam Wang when (state === s_cache_req) { 21462cb71fbShappy-lx val pipe_req = io.dcache.req.bits 21562cb71fbShappy-lx pipe_req := DontCare 21662cb71fbShappy-lx 21762cb71fbShappy-lx pipe_req.cmd := LookupTree(in.uop.ctrl.fuOpType, List( 218024ee227SWilliam Wang LSUOpType.lr_w -> M_XLR, 219024ee227SWilliam Wang LSUOpType.sc_w -> M_XSC, 220024ee227SWilliam Wang LSUOpType.amoswap_w -> M_XA_SWAP, 221024ee227SWilliam Wang LSUOpType.amoadd_w -> M_XA_ADD, 222024ee227SWilliam Wang LSUOpType.amoxor_w -> M_XA_XOR, 223024ee227SWilliam Wang LSUOpType.amoand_w -> M_XA_AND, 224024ee227SWilliam Wang LSUOpType.amoor_w -> M_XA_OR, 225024ee227SWilliam Wang LSUOpType.amomin_w -> M_XA_MIN, 226024ee227SWilliam Wang LSUOpType.amomax_w -> M_XA_MAX, 227024ee227SWilliam Wang LSUOpType.amominu_w -> M_XA_MINU, 228024ee227SWilliam Wang LSUOpType.amomaxu_w -> M_XA_MAXU, 229024ee227SWilliam Wang 230024ee227SWilliam Wang LSUOpType.lr_d -> M_XLR, 231024ee227SWilliam Wang LSUOpType.sc_d -> M_XSC, 232024ee227SWilliam Wang LSUOpType.amoswap_d -> M_XA_SWAP, 233024ee227SWilliam Wang LSUOpType.amoadd_d -> M_XA_ADD, 234024ee227SWilliam Wang LSUOpType.amoxor_d -> M_XA_XOR, 235024ee227SWilliam Wang LSUOpType.amoand_d -> M_XA_AND, 236024ee227SWilliam Wang LSUOpType.amoor_d -> M_XA_OR, 237024ee227SWilliam Wang LSUOpType.amomin_d -> M_XA_MIN, 238024ee227SWilliam Wang LSUOpType.amomax_d -> M_XA_MAX, 239024ee227SWilliam Wang LSUOpType.amominu_d -> M_XA_MINU, 240024ee227SWilliam Wang LSUOpType.amomaxu_d -> M_XA_MAXU 241024ee227SWilliam Wang )) 24262cb71fbShappy-lx pipe_req.miss := false.B 24362cb71fbShappy-lx pipe_req.probe := false.B 24462cb71fbShappy-lx pipe_req.probe_need_data := false.B 24562cb71fbShappy-lx pipe_req.source := AMO_SOURCE.U 24662cb71fbShappy-lx pipe_req.addr := get_block_addr(paddr) 24762cb71fbShappy-lx pipe_req.vaddr := get_block_addr(in.src(0)) // vaddr 24862cb71fbShappy-lx pipe_req.word_idx := get_word(paddr) 24962cb71fbShappy-lx pipe_req.amo_data := genWdata(in.src(1), in.uop.ctrl.fuOpType(1,0)) 25062cb71fbShappy-lx pipe_req.amo_mask := genWmask(paddr, in.uop.ctrl.fuOpType(1,0)) 251024ee227SWilliam Wang 25262cb71fbShappy-lx io.dcache.req.valid := Mux( 25362cb71fbShappy-lx io.dcache.req.bits.cmd === M_XLR, 25462cb71fbShappy-lx !io.dcache.block_lr, // block lr to survive in lr storm 25552180d7eShappy-lx data_valid // wait until src(1) is ready 25662cb71fbShappy-lx ) 257024ee227SWilliam Wang 2584f39c746SYinan Xu when(io.dcache.req.fire){ 259024ee227SWilliam Wang state := s_cache_resp 26062cb71fbShappy-lx paddr_reg := paddr 26162cb71fbShappy-lx data_reg := io.dcache.req.bits.amo_data 26262cb71fbShappy-lx mask_reg := io.dcache.req.bits.amo_mask 263f97664b3Swangkaifan fuop_reg := in.uop.ctrl.fuOpType 264024ee227SWilliam Wang } 265024ee227SWilliam Wang } 266024ee227SWilliam Wang 26762cb71fbShappy-lx val dcache_resp_data = Reg(UInt()) 26862cb71fbShappy-lx val dcache_resp_id = Reg(UInt()) 26962cb71fbShappy-lx val dcache_resp_error = Reg(Bool()) 27062cb71fbShappy-lx 271024ee227SWilliam Wang when (state === s_cache_resp) { 27262cb71fbShappy-lx // when not miss 27362cb71fbShappy-lx // everything is OK, simply send response back to sbuffer 27462cb71fbShappy-lx // when miss and not replay 27562cb71fbShappy-lx // wait for missQueue to handling miss and replaying our request 27662cb71fbShappy-lx // when miss and replay 27762cb71fbShappy-lx // req missed and fail to enter missQueue, manually replay it later 27862cb71fbShappy-lx // TODO: add assertions: 27962cb71fbShappy-lx // 1. add a replay delay counter? 28062cb71fbShappy-lx // 2. when req gets into MissQueue, it should not miss any more 281935edac4STang Haojin when(io.dcache.resp.fire) { 28262cb71fbShappy-lx when(io.dcache.resp.bits.miss) { 28362cb71fbShappy-lx when(io.dcache.resp.bits.replay) { 28462cb71fbShappy-lx state := s_cache_req 28562cb71fbShappy-lx } 28662cb71fbShappy-lx } .otherwise { 28762cb71fbShappy-lx dcache_resp_data := io.dcache.resp.bits.data 28862cb71fbShappy-lx dcache_resp_id := io.dcache.resp.bits.id 28962cb71fbShappy-lx dcache_resp_error := io.dcache.resp.bits.error 29062cb71fbShappy-lx state := s_cache_resp_latch 29162cb71fbShappy-lx } 29262cb71fbShappy-lx } 29362cb71fbShappy-lx } 29462cb71fbShappy-lx 29562cb71fbShappy-lx when (state === s_cache_resp_latch) { 29662cb71fbShappy-lx is_lrsc_valid := dcache_resp_id 297024ee227SWilliam Wang val rdataSel = LookupTree(paddr(2, 0), List( 29862cb71fbShappy-lx "b000".U -> dcache_resp_data(63, 0), 29962cb71fbShappy-lx "b001".U -> dcache_resp_data(63, 8), 30062cb71fbShappy-lx "b010".U -> dcache_resp_data(63, 16), 30162cb71fbShappy-lx "b011".U -> dcache_resp_data(63, 24), 30262cb71fbShappy-lx "b100".U -> dcache_resp_data(63, 32), 30362cb71fbShappy-lx "b101".U -> dcache_resp_data(63, 40), 30462cb71fbShappy-lx "b110".U -> dcache_resp_data(63, 48), 30562cb71fbShappy-lx "b111".U -> dcache_resp_data(63, 56) 306024ee227SWilliam Wang )) 307024ee227SWilliam Wang 308f97664b3Swangkaifan resp_data_wire := LookupTree(in.uop.ctrl.fuOpType, List( 309024ee227SWilliam Wang LSUOpType.lr_w -> SignExt(rdataSel(31, 0), XLEN), 31062cb71fbShappy-lx LSUOpType.sc_w -> dcache_resp_data, 311024ee227SWilliam Wang LSUOpType.amoswap_w -> SignExt(rdataSel(31, 0), XLEN), 312024ee227SWilliam Wang LSUOpType.amoadd_w -> SignExt(rdataSel(31, 0), XLEN), 313024ee227SWilliam Wang LSUOpType.amoxor_w -> SignExt(rdataSel(31, 0), XLEN), 314024ee227SWilliam Wang LSUOpType.amoand_w -> SignExt(rdataSel(31, 0), XLEN), 315024ee227SWilliam Wang LSUOpType.amoor_w -> SignExt(rdataSel(31, 0), XLEN), 316024ee227SWilliam Wang LSUOpType.amomin_w -> SignExt(rdataSel(31, 0), XLEN), 317024ee227SWilliam Wang LSUOpType.amomax_w -> SignExt(rdataSel(31, 0), XLEN), 318024ee227SWilliam Wang LSUOpType.amominu_w -> SignExt(rdataSel(31, 0), XLEN), 319024ee227SWilliam Wang LSUOpType.amomaxu_w -> SignExt(rdataSel(31, 0), XLEN), 320024ee227SWilliam Wang 321024ee227SWilliam Wang LSUOpType.lr_d -> SignExt(rdataSel(63, 0), XLEN), 32262cb71fbShappy-lx LSUOpType.sc_d -> dcache_resp_data, 323024ee227SWilliam Wang LSUOpType.amoswap_d -> SignExt(rdataSel(63, 0), XLEN), 324024ee227SWilliam Wang LSUOpType.amoadd_d -> SignExt(rdataSel(63, 0), XLEN), 325024ee227SWilliam Wang LSUOpType.amoxor_d -> SignExt(rdataSel(63, 0), XLEN), 326024ee227SWilliam Wang LSUOpType.amoand_d -> SignExt(rdataSel(63, 0), XLEN), 327024ee227SWilliam Wang LSUOpType.amoor_d -> SignExt(rdataSel(63, 0), XLEN), 328024ee227SWilliam Wang LSUOpType.amomin_d -> SignExt(rdataSel(63, 0), XLEN), 329024ee227SWilliam Wang LSUOpType.amomax_d -> SignExt(rdataSel(63, 0), XLEN), 330024ee227SWilliam Wang LSUOpType.amominu_d -> SignExt(rdataSel(63, 0), XLEN), 331024ee227SWilliam Wang LSUOpType.amomaxu_d -> SignExt(rdataSel(63, 0), XLEN) 332024ee227SWilliam Wang )) 333024ee227SWilliam Wang 33462cb71fbShappy-lx when (dcache_resp_error && io.csrCtrl.cache_error_enable) { 335026615fcSWilliam Wang exceptionVec(loadAccessFault) := isLr 336026615fcSWilliam Wang exceptionVec(storeAccessFault) := !isLr 337026615fcSWilliam Wang assert(!exceptionVec(loadAccessFault)) 338026615fcSWilliam Wang assert(!exceptionVec(storeAccessFault)) 339026615fcSWilliam Wang } 340026615fcSWilliam Wang 341f97664b3Swangkaifan resp_data := resp_data_wire 342024ee227SWilliam Wang state := s_finish 3434f39c746SYinan Xu out_valid := true.B 344024ee227SWilliam Wang } 345024ee227SWilliam Wang 3464f39c746SYinan Xu io.out.valid := out_valid 3474f39c746SYinan Xu XSError((state === s_finish) =/= out_valid, "out_valid reg error\n") 3484f39c746SYinan Xu io.out.bits := DontCare 349024ee227SWilliam Wang io.out.bits.uop := in.uop 3500d045bd0SYinan Xu io.out.bits.uop.cf.exceptionVec := exceptionVec 351024ee227SWilliam Wang io.out.bits.data := resp_data 352024ee227SWilliam Wang io.out.bits.redirectValid := false.B 353cff68e26SWilliam Wang io.out.bits.debug.isMMIO := is_mmio 35407635e87Swangkaifan io.out.bits.debug.paddr := paddr 3554f39c746SYinan Xu when (io.out.fire) { 356024ee227SWilliam Wang XSDebug("atomics writeback: pc %x data %x\n", io.out.bits.uop.cf.pc, io.dcache.resp.bits.data) 357024ee227SWilliam Wang state := s_invalid 3584f39c746SYinan Xu out_valid := false.B 359024ee227SWilliam Wang } 3604f39c746SYinan Xu 3614f39c746SYinan Xu when (state === s_finish) { 36282d348fbSLemover data_valid := false.B 363024ee227SWilliam Wang } 364024ee227SWilliam Wang 365f4b2089aSYinan Xu when (io.redirect.valid) { 366024ee227SWilliam Wang atom_override_xtval := false.B 367024ee227SWilliam Wang } 3688a5bdd64Swangkaifan 369692e2fafSHuijin Li /* 370bbd4b852SWilliam Wang // atomic trigger 371bbd4b852SWilliam Wang val csrCtrl = io.csrCtrl 372bbd4b852SWilliam Wang val tdata = Reg(Vec(6, new MatchTriggerIO)) 373bbd4b852SWilliam Wang val tEnable = RegInit(VecInit(Seq.fill(6)(false.B))) 374bbd4b852SWilliam Wang val en = csrCtrl.trigger_enable 375bbd4b852SWilliam Wang tEnable := VecInit(en(2), en (3), en(7), en(4), en(5), en(9)) 376bbd4b852SWilliam Wang when(csrCtrl.mem_trigger.t.valid) { 377bbd4b852SWilliam Wang tdata(csrCtrl.mem_trigger.t.bits.addr) := csrCtrl.mem_trigger.t.bits.tdata 378bbd4b852SWilliam Wang } 379bbd4b852SWilliam Wang val lTriggerMapping = Map(0 -> 2, 1 -> 3, 2 -> 5) 380bbd4b852SWilliam Wang val sTriggerMapping = Map(0 -> 0, 1 -> 1, 2 -> 4) 381bbd4b852SWilliam Wang 382bbd4b852SWilliam Wang val backendTriggerHitReg = Reg(Vec(6, Bool())) 383bbd4b852SWilliam Wang backendTriggerHitReg := VecInit(Seq.fill(6)(false.B)) 384bbd4b852SWilliam Wang 385bbd4b852SWilliam Wang when(state === s_cache_req){ 386bbd4b852SWilliam Wang // store trigger 387bbd4b852SWilliam Wang val store_hit = Wire(Vec(3, Bool())) 388bbd4b852SWilliam Wang for (j <- 0 until 3) { 389bbd4b852SWilliam Wang store_hit(j) := !tdata(sTriggerMapping(j)).select && TriggerCmp( 390bbd4b852SWilliam Wang vaddr, 391bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).tdata2, 392bbd4b852SWilliam Wang tdata(sTriggerMapping(j)).matchType, 393bbd4b852SWilliam Wang tEnable(sTriggerMapping(j)) 394bbd4b852SWilliam Wang ) 395bbd4b852SWilliam Wang backendTriggerHitReg(sTriggerMapping(j)) := store_hit(j) 396bbd4b852SWilliam Wang } 397bbd4b852SWilliam Wang 398bbd4b852SWilliam Wang when(tdata(0).chain) { 399bbd4b852SWilliam Wang backendTriggerHitReg(0) := store_hit(0) && store_hit(1) 400bbd4b852SWilliam Wang backendTriggerHitReg(1) := store_hit(0) && store_hit(1) 401bbd4b852SWilliam Wang } 402bbd4b852SWilliam Wang 403bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(0)) { 404bbd4b852SWilliam Wang backendTriggerHitReg(4) := false.B 405bbd4b852SWilliam Wang } 406bbd4b852SWilliam Wang 407bbd4b852SWilliam Wang // load trigger 408bbd4b852SWilliam Wang val load_hit = Wire(Vec(3, Bool())) 409bbd4b852SWilliam Wang for (j <- 0 until 3) { 410bbd4b852SWilliam Wang 411bbd4b852SWilliam Wang val addrHit = TriggerCmp( 412bbd4b852SWilliam Wang vaddr, 413bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).tdata2, 414bbd4b852SWilliam Wang tdata(lTriggerMapping(j)).matchType, 415bbd4b852SWilliam Wang tEnable(lTriggerMapping(j)) 416bbd4b852SWilliam Wang ) 417bbd4b852SWilliam Wang load_hit(j) := addrHit && !tdata(lTriggerMapping(j)).select 418bbd4b852SWilliam Wang backendTriggerHitReg(lTriggerMapping(j)) := load_hit(j) 419bbd4b852SWilliam Wang } 420bbd4b852SWilliam Wang when(tdata(2).chain) { 421bbd4b852SWilliam Wang backendTriggerHitReg(2) := load_hit(0) && load_hit(1) 422bbd4b852SWilliam Wang backendTriggerHitReg(3) := load_hit(0) && load_hit(1) 423bbd4b852SWilliam Wang } 424bbd4b852SWilliam Wang when(!in.uop.cf.trigger.backendEn(1)) { 425bbd4b852SWilliam Wang backendTriggerHitReg(5) := false.B 426bbd4b852SWilliam Wang } 427bbd4b852SWilliam Wang } 428bbd4b852SWilliam Wang 429bbd4b852SWilliam Wang // addr trigger do cmp at s_cache_req 430bbd4b852SWilliam Wang // trigger result is used at s_finish 431bbd4b852SWilliam Wang // thus we can delay it safely 432bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit := VecInit(Seq.fill(6)(false.B)) 433bbd4b852SWilliam Wang when(isLr){ 434bbd4b852SWilliam Wang // enable load trigger 435bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(2) := backendTriggerHitReg(2) 436bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(3) := backendTriggerHitReg(3) 437bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(5) := backendTriggerHitReg(5) 438bbd4b852SWilliam Wang }.otherwise{ 439bbd4b852SWilliam Wang // enable store trigger 440bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(0) := backendTriggerHitReg(0) 441bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(1) := backendTriggerHitReg(1) 442bbd4b852SWilliam Wang io.out.bits.uop.cf.trigger.backendHit(4) := backendTriggerHitReg(4) 443bbd4b852SWilliam Wang } 444bbd4b852SWilliam Wang 445692e2fafSHuijin Li */ 446692e2fafSHuijin Li 4471545277aSYinan Xu if (env.EnableDifftest) { 4487d45a146SYinan Xu val difftest = DifftestModule(new DiffAtomicEvent) 4497d45a146SYinan Xu difftest.coreid := io.hartId 4507d45a146SYinan Xu difftest.valid := state === s_cache_resp_latch 4517d45a146SYinan Xu difftest.addr := paddr_reg 4527d45a146SYinan Xu difftest.data := data_reg 4537d45a146SYinan Xu difftest.mask := mask_reg 4547d45a146SYinan Xu difftest.fuop := fuop_reg 4557d45a146SYinan Xu difftest.out := resp_data_wire 4568a5bdd64Swangkaifan } 457e13d224aSYinan Xu 458e13d224aSYinan Xu if (env.EnableDifftest || env.AlwaysBasicDiff) { 459e13d224aSYinan Xu val uop = io.out.bits.uop 4607d45a146SYinan Xu val difftest = DifftestModule(new DiffLrScEvent) 4617d45a146SYinan Xu difftest.coreid := io.hartId 4627d45a146SYinan Xu difftest.valid := io.out.fire && 463e13d224aSYinan Xu (uop.ctrl.fuOpType === LSUOpType.sc_d || uop.ctrl.fuOpType === LSUOpType.sc_w) 4647d45a146SYinan Xu difftest.success := is_lrsc_valid 465e13d224aSYinan Xu } 466024ee227SWilliam Wang} 467