1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17024ee227SWilliam Wangpackage xiangshan.mem 18024ee227SWilliam Wang 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20024ee227SWilliam Wangimport chisel3._ 21024ee227SWilliam Wangimport chisel3.util._ 22024ee227SWilliam Wangimport utils._ 233c02ee8fSwakafaimport utility._ 246ab6918fSYinan Xuimport xiangshan.ExceptionNO._ 25024ee227SWilliam Wangimport xiangshan._ 26b6982e83SLemoverimport xiangshan.backend.fu.PMPRespBundle 271279060fSWilliam Wangimport xiangshan.cache._ 28144422dcSMaxpicca-Liimport xiangshan.cache.dcache.ReplayCarry 296ab6918fSYinan Xuimport xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp} 30024ee227SWilliam Wang 31a760aeb0Shappy-lxclass LoadToLsqFastIO(implicit p: Parameters) extends XSBundle { 32a760aeb0Shappy-lx val valid = Output(Bool()) 33a760aeb0Shappy-lx val ld_ld_check_ok = Output(Bool()) 3416c3b0b7Ssfencevma val st_ld_check_ok = Output(Bool()) 35a760aeb0Shappy-lx val cache_bank_no_conflict = Output(Bool()) 36a760aeb0Shappy-lx val ld_idx = Output(UInt(log2Ceil(LoadQueueSize).W)) 37a760aeb0Shappy-lx} 38a760aeb0Shappy-lx 39683c1411Shappy-lxclass LoadToLsqSlowIO(implicit p: Parameters) extends XSBundle with HasDCacheParameters { 40a760aeb0Shappy-lx val valid = Output(Bool()) 41a760aeb0Shappy-lx val tlb_hited = Output(Bool()) 4216c3b0b7Ssfencevma val st_ld_check_ok = Output(Bool()) 43a760aeb0Shappy-lx val cache_no_replay = Output(Bool()) 44a760aeb0Shappy-lx val forward_data_valid = Output(Bool()) 45683c1411Shappy-lx val cache_hited = Output(Bool()) 46683c1411Shappy-lx val can_forward_full_data = Output(Bool()) 47a760aeb0Shappy-lx val ld_idx = Output(UInt(log2Ceil(LoadQueueSize).W)) 48a760aeb0Shappy-lx val data_invalid_sq_idx = Output(UInt(log2Ceil(StoreQueueSize).W)) 49144422dcSMaxpicca-Li val replayCarry = Output(new ReplayCarry) 50683c1411Shappy-lx val miss_mshr_id = Output(UInt(log2Up(cfg.nMissEntries).W)) 51683c1411Shappy-lx val data_in_last_beat = Output(Bool()) 52a760aeb0Shappy-lx} 53a760aeb0Shappy-lx 542225d46eSJiawei Linclass LoadToLsqIO(implicit p: Parameters) extends XSBundle { 55e323d51eShappy-lx val loadIn = ValidIO(new LqWriteBundle) 560a47e4a1SWilliam Wang val loadPaddrIn = ValidIO(new LqPaddrWriteBundle) 57a760aeb0Shappy-lx val loadVaddrIn = ValidIO(new LqVaddrWriteBundle) 58024ee227SWilliam Wang val ldout = Flipped(DecoupledIO(new ExuOutput)) 59cb9c18dcSWilliam Wang val ldRawData = Input(new LoadDataFromLQBundle) 60e323d51eShappy-lx val s2_load_data_forwarded = Output(Bool()) 61e323d51eShappy-lx val s3_delayed_load_error = Output(Bool()) 6267cddb05SWilliam Wang val s2_dcache_require_replay = Output(Bool()) 6367cddb05SWilliam Wang val s3_replay_from_fetch = Output(Bool()) // update uop.ctrl.replayInst in load queue in s3 641b7adedcSWilliam Wang val forward = new PipeLoadForwardQueryIO 6567682d05SWilliam Wang val loadViolationQuery = new LoadViolationQueryIO 66b978565cSWilliam Wang val trigger = Flipped(new LqTriggerIO) 67a760aeb0Shappy-lx 68a760aeb0Shappy-lx // for load replay 69a760aeb0Shappy-lx val replayFast = new LoadToLsqFastIO 70a760aeb0Shappy-lx val replaySlow = new LoadToLsqSlowIO 71024ee227SWilliam Wang} 72024ee227SWilliam Wang 73e3f759aeSWilliam Wangclass LoadToLoadIO(implicit p: Parameters) extends XSBundle { 74e3f759aeSWilliam Wang // load to load fast path is limited to ld (64 bit) used as vaddr src1 only 75e3f759aeSWilliam Wang val data = UInt(XLEN.W) 76e3f759aeSWilliam Wang val valid = Bool() 77e3f759aeSWilliam Wang} 78e3f759aeSWilliam Wang 79b978565cSWilliam Wangclass LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle { 80b978565cSWilliam Wang val tdata2 = Input(UInt(64.W)) 81b978565cSWilliam Wang val matchType = Input(UInt(2.W)) 8284e47f35SLi Qianruo val tEnable = Input(Bool()) // timing is calculated before this 83b978565cSWilliam Wang val addrHit = Output(Bool()) 84b978565cSWilliam Wang val lastDataHit = Output(Bool()) 85b978565cSWilliam Wang} 86b978565cSWilliam Wang 877962cc88SWilliam Wang// Load Pipeline Stage 0 887962cc88SWilliam Wang// Generate addr, use addr to query DCache and DTLB 893f4ec46fSCODE-JTZclass LoadUnit_S0(implicit p: Parameters) extends XSModule with HasDCacheParameters{ 90024ee227SWilliam Wang val io = IO(new Bundle() { 917962cc88SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 927962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 93b52348aeSWilliam Wang val prefetch_in = Flipped(ValidIO(new L1PrefetchReq)) 940cab60cbSZhangZifei val dtlbReq = DecoupledIO(new TlbReq) 956e9ed841SAllen val dcacheReq = DecoupledIO(new DCacheWordReq) 9664e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 97ee46cd6eSLemover val isFirstIssue = Input(Bool()) 98c3b763d0SYinan Xu val fastpath = Input(new LoadToLoadIO) 99c3b763d0SYinan Xu val s0_kill = Input(Bool()) 100a760aeb0Shappy-lx // wire from lq to load pipeline 101a760aeb0Shappy-lx val lsqOut = Flipped(Decoupled(new LsPipelineBundle)) 102a760aeb0Shappy-lx 103a760aeb0Shappy-lx val s0_sqIdx = Output(new SqPtr) 104024ee227SWilliam Wang }) 105718f8a60SYinan Xu require(LoadPipelineWidth == exuParameters.LduCnt) 106024ee227SWilliam Wang 107a760aeb0Shappy-lx // there are three sources of load pipeline's input 108a760aeb0Shappy-lx // * 1. load issued by RS (io.in) 109a760aeb0Shappy-lx // * 2. load replayed by LSQ (io.lsqOut) 110a760aeb0Shappy-lx // * 3. load try pointchaising when no issued or replayed load (io.fastpath) 11164886eefSWilliam Wang 112a760aeb0Shappy-lx // the priority is 113683c1411Shappy-lx // 2 > 1 > 3 114a760aeb0Shappy-lx // now in S0, choise a load according to priority 115a760aeb0Shappy-lx 116a760aeb0Shappy-lx val s0_vaddr = Wire(UInt(VAddrBits.W)) 117a760aeb0Shappy-lx val s0_mask = Wire(UInt(8.W)) 118a760aeb0Shappy-lx val s0_uop = Wire(new MicroOp) 119a760aeb0Shappy-lx val s0_isFirstIssue = Wire(Bool()) 120a760aeb0Shappy-lx val s0_rsIdx = Wire(UInt(log2Up(IssQueSize).W)) 121a760aeb0Shappy-lx val s0_sqIdx = Wire(new SqPtr) 122144422dcSMaxpicca-Li val s0_replayCarry = Wire(new ReplayCarry) 123144422dcSMaxpicca-Li // default value 124144422dcSMaxpicca-Li s0_replayCarry.valid := false.B 125144422dcSMaxpicca-Li s0_replayCarry.real_way_en := 0.U 126a760aeb0Shappy-lx 127a760aeb0Shappy-lx io.s0_sqIdx := s0_sqIdx 128a760aeb0Shappy-lx 129a760aeb0Shappy-lx val tryFastpath = WireInit(false.B) 130a760aeb0Shappy-lx 131a760aeb0Shappy-lx val s0_valid = Wire(Bool()) 132a760aeb0Shappy-lx 133a760aeb0Shappy-lx s0_valid := io.in.valid || io.lsqOut.valid || tryFastpath 134a760aeb0Shappy-lx 135a760aeb0Shappy-lx // assign default value 136a760aeb0Shappy-lx s0_uop := DontCare 137a760aeb0Shappy-lx 138683c1411Shappy-lx when(io.lsqOut.valid) { 139683c1411Shappy-lx s0_vaddr := io.lsqOut.bits.vaddr 140683c1411Shappy-lx s0_mask := io.lsqOut.bits.mask 141683c1411Shappy-lx s0_uop := io.lsqOut.bits.uop 142683c1411Shappy-lx s0_isFirstIssue := io.lsqOut.bits.isFirstIssue 143683c1411Shappy-lx s0_rsIdx := io.lsqOut.bits.rsIdx 144683c1411Shappy-lx s0_sqIdx := io.lsqOut.bits.uop.sqIdx 145144422dcSMaxpicca-Li s0_replayCarry := io.lsqOut.bits.replayCarry 146683c1411Shappy-lx }.elsewhen(io.in.valid) { 147a760aeb0Shappy-lx val imm12 = io.in.bits.uop.ctrl.imm(11, 0) 148a760aeb0Shappy-lx s0_vaddr := io.in.bits.src(0) + SignExt(imm12, VAddrBits) 149a760aeb0Shappy-lx s0_mask := genWmask(s0_vaddr, io.in.bits.uop.ctrl.fuOpType(1,0)) 150a760aeb0Shappy-lx s0_uop := io.in.bits.uop 151a760aeb0Shappy-lx s0_isFirstIssue := io.isFirstIssue 152a760aeb0Shappy-lx s0_rsIdx := io.rsIdx 153a760aeb0Shappy-lx s0_sqIdx := io.in.bits.uop.sqIdx 154a760aeb0Shappy-lx 155a760aeb0Shappy-lx }.otherwise { 15664886eefSWilliam Wang if (EnableLoadToLoadForward) { 157a760aeb0Shappy-lx tryFastpath := io.fastpath.valid 158a760aeb0Shappy-lx // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding. 159c3b763d0SYinan Xu s0_vaddr := io.fastpath.data 160c3b763d0SYinan Xu // Assume the pointer chasing is always ld. 161c3b763d0SYinan Xu s0_uop.ctrl.fuOpType := LSUOpType.ld 162c3b763d0SYinan Xu s0_mask := genWmask(0.U, LSUOpType.ld) 163a760aeb0Shappy-lx // we dont care s0_isFirstIssue and s0_rsIdx and s0_sqIdx in S0 when trying pointchasing 164a760aeb0Shappy-lx // because these signals will be updated in S1 165a760aeb0Shappy-lx s0_isFirstIssue := DontCare 166a760aeb0Shappy-lx s0_rsIdx := DontCare 167a760aeb0Shappy-lx s0_sqIdx := DontCare 168c3b763d0SYinan Xu } 16964886eefSWilliam Wang } 170024ee227SWilliam Wang 171683c1411Shappy-lx // io.lsqOut has highest priority 172683c1411Shappy-lx io.lsqOut.ready := (io.out.ready && io.dcacheReq.ready) 173a760aeb0Shappy-lx 174b52348aeSWilliam Wang val isPrefetch = WireInit(LSUOpType.isPrefetch(s0_uop.ctrl.fuOpType)) 175b52348aeSWilliam Wang val isPrefetchRead = WireInit(s0_uop.ctrl.fuOpType === LSUOpType.prefetch_r) 176b52348aeSWilliam Wang val isPrefetchWrite = WireInit(s0_uop.ctrl.fuOpType === LSUOpType.prefetch_w) 177b52348aeSWilliam Wang val isHWPrefetch = WireInit(false.B) 1783f4ec46fSCODE-JTZ 1797962cc88SWilliam Wang // query DTLB 180dcd58560SWilliam Wang io.dtlbReq.valid := s0_valid || io.prefetch_in.valid 1811279060fSWilliam Wang io.dtlbReq.bits.vaddr := s0_vaddr 1821279060fSWilliam Wang io.dtlbReq.bits.cmd := TlbCmd.read 183c3b763d0SYinan Xu io.dtlbReq.bits.size := LSUOpType.size(s0_uop.ctrl.fuOpType) 184f1fe8698SLemover io.dtlbReq.bits.kill := DontCare 185f1fe8698SLemover io.dtlbReq.bits.debug.robIdx := s0_uop.robIdx 186b52348aeSWilliam Wang io.dtlbReq.bits.no_translate := false.B 1871279060fSWilliam Wang io.dtlbReq.bits.debug.pc := s0_uop.cf.pc 188a760aeb0Shappy-lx io.dtlbReq.bits.debug.isFirstIssue := s0_isFirstIssue 189024ee227SWilliam Wang 1907962cc88SWilliam Wang // query DCache 191dcd58560SWilliam Wang io.dcacheReq.valid := s0_valid || io.prefetch_in.valid 192b52348aeSWilliam Wang when (isPrefetchRead) { 1933f4ec46fSCODE-JTZ io.dcacheReq.bits.cmd := MemoryOpConstants.M_PFR 194b52348aeSWilliam Wang }.elsewhen (isPrefetchWrite) { 1953f4ec46fSCODE-JTZ io.dcacheReq.bits.cmd := MemoryOpConstants.M_PFW 1963f4ec46fSCODE-JTZ }.otherwise { 1971279060fSWilliam Wang io.dcacheReq.bits.cmd := MemoryOpConstants.M_XRD 1983f4ec46fSCODE-JTZ } 1991279060fSWilliam Wang io.dcacheReq.bits.addr := s0_vaddr 2001279060fSWilliam Wang io.dcacheReq.bits.mask := s0_mask 20159a40467SWilliam Wang io.dcacheReq.bits.data := DontCare 202b52348aeSWilliam Wang when(isPrefetch) { 20300575ac8SWilliam Wang io.dcacheReq.bits.instrtype := DCACHE_PREFETCH_SOURCE.U 2043f4ec46fSCODE-JTZ }.otherwise { 2053f4ec46fSCODE-JTZ io.dcacheReq.bits.instrtype := LOAD_SOURCE.U 2063f4ec46fSCODE-JTZ } 207144422dcSMaxpicca-Li io.dcacheReq.bits.replayCarry := s0_replayCarry 208024ee227SWilliam Wang 20959a40467SWilliam Wang // TODO: update cache meta 210743bc277SAllen io.dcacheReq.bits.id := DontCare 211024ee227SWilliam Wang 2122cdf1575SWilliam Wang // address align check 2132cdf1575SWilliam Wang val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List( 2142cdf1575SWilliam Wang "b00".U -> true.B, //b 2152cdf1575SWilliam Wang "b01".U -> (s0_vaddr(0) === 0.U), //h 2162cdf1575SWilliam Wang "b10".U -> (s0_vaddr(1, 0) === 0.U), //w 2172cdf1575SWilliam Wang "b11".U -> (s0_vaddr(2, 0) === 0.U) //d 2182cdf1575SWilliam Wang )) 2192cdf1575SWilliam Wang 220b52348aeSWilliam Wang // prefetch ctrl signal gen 221b52348aeSWilliam Wang val have_confident_hw_prefetch = io.prefetch_in.valid && (io.prefetch_in.bits.confidence > 0.U) 222b52348aeSWilliam Wang val hw_prefetch_override = io.prefetch_in.valid && 223b52348aeSWilliam Wang ((io.prefetch_in.bits.confidence > 0.U) || !io.in.valid) 224b52348aeSWilliam Wang 2252cdf1575SWilliam Wang // load flow select/gen 2262cdf1575SWilliam Wang // 227b52348aeSWilliam Wang // load req may come from: 228b52348aeSWilliam Wang // 1) normal read / software prefetch from RS (io.in.valid) 229b52348aeSWilliam Wang // 2) load to load fast path (tryFastpath) 230b52348aeSWilliam Wang // 3) hardware prefetch from prefetchor (hw_prefetch_override) 231b52348aeSWilliam Wang io.out.valid := (s0_valid || hw_prefetch_override) && io.dcacheReq.ready && !io.s0_kill 232d0f66e88SYinan Xu 2337962cc88SWilliam Wang io.out.bits := DontCare 2347962cc88SWilliam Wang io.out.bits.vaddr := s0_vaddr 2357962cc88SWilliam Wang io.out.bits.mask := s0_mask 2367962cc88SWilliam Wang io.out.bits.uop := s0_uop 2377962cc88SWilliam Wang io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned 238a760aeb0Shappy-lx io.out.bits.rsIdx := s0_rsIdx 239a760aeb0Shappy-lx io.out.bits.isFirstIssue := s0_isFirstIssue 240b52348aeSWilliam Wang io.out.bits.isPrefetch := isPrefetch 241b52348aeSWilliam Wang io.out.bits.isHWPrefetch := isHWPrefetch 242683c1411Shappy-lx io.out.bits.isLoadReplay := io.lsqOut.valid 243683c1411Shappy-lx io.out.bits.mshrid := io.lsqOut.bits.mshrid 244683c1411Shappy-lx io.out.bits.forward_tlDchannel := io.lsqOut.valid && io.lsqOut.bits.forward_tlDchannel 245024ee227SWilliam Wang 246b52348aeSWilliam Wang when (hw_prefetch_override) { 247b52348aeSWilliam Wang // vaddr based index for dcache 248b52348aeSWilliam Wang io.out.bits.vaddr := io.prefetch_in.bits.getVaddr() 249b52348aeSWilliam Wang io.dcacheReq.bits.addr := io.prefetch_in.bits.getVaddr() 250b52348aeSWilliam Wang // dtlb 251b52348aeSWilliam Wang // send paddr to dcache, send a no_translate signal 252b52348aeSWilliam Wang io.dtlbReq.bits.vaddr := io.prefetch_in.bits.paddr 253b52348aeSWilliam Wang io.dtlbReq.bits.cmd := Mux(io.prefetch_in.bits.is_store, TlbCmd.write, TlbCmd.read) 254b52348aeSWilliam Wang io.dtlbReq.bits.no_translate := true.B 255b52348aeSWilliam Wang // ctrl signal 256b52348aeSWilliam Wang isPrefetch := true.B 257b52348aeSWilliam Wang isHWPrefetch := true.B 258b52348aeSWilliam Wang isPrefetchRead := !io.prefetch_in.bits.is_store 259b52348aeSWilliam Wang isPrefetchWrite := io.prefetch_in.bits.is_store 260b52348aeSWilliam Wang } 261b52348aeSWilliam Wang 262b52348aeSWilliam Wang // io.in can fire only when: 263b52348aeSWilliam Wang // 1) there is no lsq-replayed load 264b52348aeSWilliam Wang // 2) there is no high confidence prefetch request 265b52348aeSWilliam Wang io.in.ready := (io.out.ready && io.dcacheReq.ready && !io.lsqOut.valid && !have_confident_hw_prefetch) 266b52348aeSWilliam Wang 267c3b763d0SYinan Xu XSDebug(io.dcacheReq.fire, 268bcc55f84SYinan Xu p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 2693dbae6f8SYinan Xu ) 270d8798cc8SYinan Xu XSPerfAccumulate("in_valid", io.in.valid) 271d8798cc8SYinan Xu XSPerfAccumulate("in_fire", io.in.fire) 272d8798cc8SYinan Xu XSPerfAccumulate("in_fire_first_issue", io.in.valid && io.isFirstIssue) 273408a32b7SAllen XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready && io.dcacheReq.ready) 274408a32b7SAllen XSPerfAccumulate("stall_dcache", io.out.valid && io.out.ready && !io.dcacheReq.ready) 275c3b763d0SYinan Xu XSPerfAccumulate("addr_spec_success", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12)) 276c3b763d0SYinan Xu XSPerfAccumulate("addr_spec_failed", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12)) 277c3b763d0SYinan Xu XSPerfAccumulate("addr_spec_success_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) === io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue) 278c3b763d0SYinan Xu XSPerfAccumulate("addr_spec_failed_once", io.out.fire && s0_vaddr(VAddrBits-1, 12) =/= io.in.bits.src(0)(VAddrBits-1, 12) && io.isFirstIssue) 279683c1411Shappy-lx XSPerfAccumulate("forward_tlDchannel", io.out.bits.forward_tlDchannel) 28000575ac8SWilliam Wang XSPerfAccumulate("hardware_prefetch_fire", io.out.fire && isPrefetch && hw_prefetch_override) 28100575ac8SWilliam Wang XSPerfAccumulate("software_prefetch_fire", io.out.fire && isPrefetch && !hw_prefetch_override) 282b52348aeSWilliam Wang XSPerfAccumulate("hardware_prefetch_blocked", io.prefetch_in.valid && !hw_prefetch_override) 28300575ac8SWilliam Wang XSPerfAccumulate("hardware_prefetch_total", io.prefetch_in.valid) 2847962cc88SWilliam Wang} 285024ee227SWilliam Wang 2867962cc88SWilliam Wang 2877962cc88SWilliam Wang// Load Pipeline Stage 1 2887962cc88SWilliam Wang// TLB resp (send paddr to dcache) 28916c3b0b7Ssfencevmaclass LoadUnit_S1(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 2907962cc88SWilliam Wang val io = IO(new Bundle() { 2917962cc88SWilliam Wang val in = Flipped(Decoupled(new LsPipelineBundle)) 292c3b763d0SYinan Xu val s1_kill = Input(Bool()) 2937962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 29403efd994Shappy-lx val dtlbResp = Flipped(DecoupledIO(new TlbResp(2))) 29503efd994Shappy-lx val lsuPAddr = Output(UInt(PAddrBits.W)) 296bcc55f84SYinan Xu val dcachePAddr = Output(UInt(PAddrBits.W)) 297d21b1759SYinan Xu val dcacheKill = Output(Bool()) 298d87b76aaSWilliam Wang val dcacheBankConflict = Input(Bool()) 2993db2cf75SWilliam Wang val fullForwardFast = Output(Bool()) 3002e36e3b7SWilliam Wang val sbuffer = new LoadForwardQueryIO 3011b7adedcSWilliam Wang val lsq = new PipeLoadForwardQueryIO 30267682d05SWilliam Wang val loadViolationQueryReq = Decoupled(new LoadViolationQueryReq) 30316c3b0b7Ssfencevma val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO))) 304d87b76aaSWilliam Wang val rsFeedback = ValidIO(new RSFeedback) 305a760aeb0Shappy-lx val replayFast = new LoadToLsqFastIO 30667682d05SWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 30767682d05SWilliam Wang val needLdVioCheckRedo = Output(Bool()) 30816c3b0b7Ssfencevma val needReExecute = Output(Bool()) 3097962cc88SWilliam Wang }) 3107962cc88SWilliam Wang 3117962cc88SWilliam Wang val s1_uop = io.in.bits.uop 31203efd994Shappy-lx val s1_paddr_dup_lsu = io.dtlbResp.bits.paddr(0) 31303efd994Shappy-lx val s1_paddr_dup_dcache = io.dtlbResp.bits.paddr(1) 3146ab6918fSYinan Xu // af & pf exception were modified below. 3156ab6918fSYinan Xu val s1_exception = ExceptionNO.selectByFu(io.out.bits.uop.cf.exceptionVec, lduCfg).asUInt.orR 316bcc55f84SYinan Xu val s1_tlb_miss = io.dtlbResp.bits.miss 3172e36e3b7SWilliam Wang val s1_mask = io.in.bits.mask 318dcd58560SWilliam Wang val s1_is_prefetch = io.in.bits.isPrefetch 319dcd58560SWilliam Wang val s1_is_hw_prefetch = io.in.bits.isHWPrefetch 320d87b76aaSWilliam Wang val s1_bank_conflict = io.dcacheBankConflict 3217962cc88SWilliam Wang 3222e36e3b7SWilliam Wang io.out.bits := io.in.bits // forwardXX field will be updated in s1 323bcc55f84SYinan Xu 324bcc55f84SYinan Xu io.dtlbResp.ready := true.B 325bcc55f84SYinan Xu 32603efd994Shappy-lx io.lsuPAddr := s1_paddr_dup_lsu 32703efd994Shappy-lx io.dcachePAddr := s1_paddr_dup_dcache 3283f4ec46fSCODE-JTZ //io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio 329c3b763d0SYinan Xu io.dcacheKill := s1_tlb_miss || s1_exception || io.s1_kill 3302e36e3b7SWilliam Wang // load forward query datapath 331dcd58560SWilliam Wang io.sbuffer.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill || s1_is_hw_prefetch) 33288fbccddSWilliam Wang io.sbuffer.vaddr := io.in.bits.vaddr 33303efd994Shappy-lx io.sbuffer.paddr := s1_paddr_dup_lsu 3342e36e3b7SWilliam Wang io.sbuffer.uop := s1_uop 3352e36e3b7SWilliam Wang io.sbuffer.sqIdx := s1_uop.sqIdx 3362e36e3b7SWilliam Wang io.sbuffer.mask := s1_mask 3372e36e3b7SWilliam Wang io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it 3382e36e3b7SWilliam Wang 339dcd58560SWilliam Wang io.lsq.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill || s1_is_hw_prefetch) 34088fbccddSWilliam Wang io.lsq.vaddr := io.in.bits.vaddr 34103efd994Shappy-lx io.lsq.paddr := s1_paddr_dup_lsu 3420bd67ba5SYinan Xu io.lsq.uop := s1_uop 3430bd67ba5SYinan Xu io.lsq.sqIdx := s1_uop.sqIdx 3447830f711SWilliam Wang io.lsq.sqIdxMask := DontCare // will be overwritten by sqIdxMask pre-generated in s0 3450bd67ba5SYinan Xu io.lsq.mask := s1_mask 3460bd67ba5SYinan Xu io.lsq.pc := s1_uop.cf.pc // FIXME: remove it 3472e36e3b7SWilliam Wang 34867682d05SWilliam Wang // ld-ld violation query 349dcd58560SWilliam Wang io.loadViolationQueryReq.valid := io.in.valid && !(s1_exception || s1_tlb_miss || io.s1_kill || s1_is_hw_prefetch) 35003efd994Shappy-lx io.loadViolationQueryReq.bits.paddr := s1_paddr_dup_lsu 35167682d05SWilliam Wang io.loadViolationQueryReq.bits.uop := s1_uop 35267682d05SWilliam Wang 35316c3b0b7Ssfencevma // st-ld violation query 35416c3b0b7Ssfencevma val needReExecuteVec = Wire(Vec(StorePipelineWidth, Bool())) 35516c3b0b7Ssfencevma val needReExecute = Wire(Bool()) 35616c3b0b7Ssfencevma 35716c3b0b7Ssfencevma for (w <- 0 until StorePipelineWidth) { 35816c3b0b7Ssfencevma // needReExecute valid when 35916c3b0b7Ssfencevma // 1. ReExecute query request valid. 36016c3b0b7Ssfencevma // 2. Load instruction is younger than requestors(store instructions). 36116c3b0b7Ssfencevma // 3. Physical address match. 36216c3b0b7Ssfencevma // 4. Data contains. 36316c3b0b7Ssfencevma 36416c3b0b7Ssfencevma needReExecuteVec(w) := io.reExecuteQuery(w).valid && 36516c3b0b7Ssfencevma isAfter(io.in.bits.uop.robIdx, io.reExecuteQuery(w).bits.robIdx) && 36616c3b0b7Ssfencevma !s1_tlb_miss && 36716c3b0b7Ssfencevma (s1_paddr_dup_lsu(PAddrBits-1, 3) === io.reExecuteQuery(w).bits.paddr(PAddrBits-1, 3)) && 36816c3b0b7Ssfencevma (s1_mask & io.reExecuteQuery(w).bits.mask).orR 36916c3b0b7Ssfencevma } 37016c3b0b7Ssfencevma needReExecute := needReExecuteVec.asUInt.orR 37116c3b0b7Ssfencevma io.needReExecute := needReExecute 37216c3b0b7Ssfencevma 3733db2cf75SWilliam Wang // Generate forwardMaskFast to wake up insts earlier 3743db2cf75SWilliam Wang val forwardMaskFast = io.lsq.forwardMaskFast.asUInt | io.sbuffer.forwardMaskFast.asUInt 375c3b763d0SYinan Xu io.fullForwardFast := ((~forwardMaskFast).asUInt & s1_mask) === 0.U 3763db2cf75SWilliam Wang 37767682d05SWilliam Wang // Generate feedback signal caused by: 37867682d05SWilliam Wang // * dcache bank conflict 37967682d05SWilliam Wang // * need redo ld-ld violation check 38067682d05SWilliam Wang val needLdVioCheckRedo = io.loadViolationQueryReq.valid && 38167682d05SWilliam Wang !io.loadViolationQueryReq.ready && 382a4e57ea3SLi Qianruo RegNext(io.csrCtrl.ldld_vio_check_enable) 38367682d05SWilliam Wang io.needLdVioCheckRedo := needLdVioCheckRedo 384b52348aeSWilliam Wang 385b52348aeSWilliam Wang // make nanhu rs feedback port happy 386b52348aeSWilliam Wang // if a load flow comes from rs, always feedback hit (no need to replay from rs) 387dcd58560SWilliam Wang io.rsFeedback.valid := Mux(io.in.bits.isLoadReplay, false.B, io.in.valid && !io.s1_kill && !s1_is_prefetch) 388a760aeb0Shappy-lx io.rsFeedback.bits.hit := true.B // we have found s1_bank_conflict / re do ld-ld violation check 389d87b76aaSWilliam Wang io.rsFeedback.bits.rsIdx := io.in.bits.rsIdx 390d87b76aaSWilliam Wang io.rsFeedback.bits.flushState := io.in.bits.ptwBack 39167682d05SWilliam Wang io.rsFeedback.bits.sourceType := Mux(s1_bank_conflict, RSFeedbackType.bankConflict, RSFeedbackType.ldVioCheckRedo) 392c7160cd3SWilliam Wang io.rsFeedback.bits.dataInvalidSqIdx := DontCare 393d87b76aaSWilliam Wang 394b52348aeSWilliam Wang // request rep-lay from load replay queue, fast port 395a760aeb0Shappy-lx io.replayFast.valid := io.in.valid && !io.s1_kill 396a760aeb0Shappy-lx io.replayFast.ld_ld_check_ok := !needLdVioCheckRedo 39716c3b0b7Ssfencevma io.replayFast.st_ld_check_ok := !needReExecute 398a760aeb0Shappy-lx io.replayFast.cache_bank_no_conflict := !s1_bank_conflict 399a760aeb0Shappy-lx io.replayFast.ld_idx := io.in.bits.uop.lqIdx.value 400a760aeb0Shappy-lx 40167682d05SWilliam Wang // if replay is detected in load_s1, 40267682d05SWilliam Wang // load inst will be canceled immediately 40316c3b0b7Ssfencevma io.out.valid := io.in.valid && (!needLdVioCheckRedo && !s1_bank_conflict && !needReExecute) && !io.s1_kill 40403efd994Shappy-lx io.out.bits.paddr := s1_paddr_dup_lsu 40559a40467SWilliam Wang io.out.bits.tlbMiss := s1_tlb_miss 4063f4ec46fSCODE-JTZ 4073f4ec46fSCODE-JTZ // current ori test will cause the case of ldest == 0, below will be modifeid in the future. 4083f4ec46fSCODE-JTZ // af & pf exception were modified 40903efd994Shappy-lx io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp(0).pf.ld 41003efd994Shappy-lx io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp(0).af.ld 4113f4ec46fSCODE-JTZ 41262f57a35SLemover io.out.bits.ptwBack := io.dtlbResp.bits.ptwBack 41364e8d8bdSZhangZifei io.out.bits.rsIdx := io.in.bits.rsIdx 4147962cc88SWilliam Wang 415d0f66e88SYinan Xu io.in.ready := !io.in.valid || io.out.ready 4167962cc88SWilliam Wang 417d8798cc8SYinan Xu XSPerfAccumulate("in_valid", io.in.valid) 418d8798cc8SYinan Xu XSPerfAccumulate("in_fire", io.in.fire) 419d8798cc8SYinan Xu XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue) 420d8798cc8SYinan Xu XSPerfAccumulate("tlb_miss", io.in.fire && s1_tlb_miss) 421d8798cc8SYinan Xu XSPerfAccumulate("tlb_miss_first_issue", io.in.fire && s1_tlb_miss && io.in.bits.isFirstIssue) 422408a32b7SAllen XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready) 4237962cc88SWilliam Wang} 4247962cc88SWilliam Wang 4257962cc88SWilliam Wang// Load Pipeline Stage 2 4267962cc88SWilliam Wang// DCache resp 427683c1411Shappy-lxclass LoadUnit_S2(implicit p: Parameters) extends XSModule with HasLoadHelper with HasCircularQueuePtrHelper with HasDCacheParameters { 4287962cc88SWilliam Wang val io = IO(new Bundle() { 4297962cc88SWilliam Wang val in = Flipped(Decoupled(new LsPipelineBundle)) 4307962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 4311b7adedcSWilliam Wang val rsFeedback = ValidIO(new RSFeedback) 432a760aeb0Shappy-lx val replaySlow = new LoadToLsqSlowIO 433144422dcSMaxpicca-Li val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp)) 434ca2f90a6SLemover val pmpResp = Flipped(new PMPRespBundle()) 435b3084e27SWilliam Wang val lsq = new LoadForwardQueryIO 436c7160cd3SWilliam Wang val dataInvalidSqIdx = Input(UInt()) 437995f167cSYinan Xu val sbuffer = new LoadForwardQueryIO 4385830ba4fSWilliam Wang val dataForwarded = Output(Bool()) 43967cddb05SWilliam Wang val s2_dcache_require_replay = Output(Bool()) 440cd365d4cSrvcoresjw val fullForward = Output(Bool()) 441b6982e83SLemover val dcache_kill = Output(Bool()) 442e323d51eShappy-lx val s3_delayed_load_error = Output(Bool()) 44367682d05SWilliam Wang val loadViolationQueryResp = Flipped(Valid(new LoadViolationQueryResp)) 44467682d05SWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 445ca2f90a6SLemover val sentFastUop = Input(Bool()) 446a4e57ea3SLi Qianruo val static_pm = Input(Valid(Bool())) // valid for static, bits for mmio 44767cddb05SWilliam Wang val s2_can_replay_from_fetch = Output(Bool()) // dirty code 448cb9c18dcSWilliam Wang val loadDataFromDcache = Output(new LoadDataFromDcacheBundle) 44916c3b0b7Ssfencevma val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO))) 45016c3b0b7Ssfencevma val needReExecute = Output(Bool()) 451683c1411Shappy-lx // forward tilelink D channel 452683c1411Shappy-lx val forward_D = Input(Bool()) 453683c1411Shappy-lx val forwardData_D = Input(Vec(8, UInt(8.W))) 454683c1411Shappy-lx 455683c1411Shappy-lx // forward mshr data 456683c1411Shappy-lx val forward_mshr = Input(Bool()) 457683c1411Shappy-lx val forwardData_mshr = Input(Vec(8, UInt(8.W))) 458683c1411Shappy-lx 459683c1411Shappy-lx // indicate whether forward tilelink D channel or mshr data is valid 460683c1411Shappy-lx val forward_result_valid = Input(Bool()) 4617962cc88SWilliam Wang }) 462b6982e83SLemover 463a4e57ea3SLi Qianruo val pmp = WireInit(io.pmpResp) 464a4e57ea3SLi Qianruo when (io.static_pm.valid) { 465a4e57ea3SLi Qianruo pmp.ld := false.B 466a4e57ea3SLi Qianruo pmp.st := false.B 467a4e57ea3SLi Qianruo pmp.instr := false.B 468a4e57ea3SLi Qianruo pmp.mmio := io.static_pm.bits 469a4e57ea3SLi Qianruo } 470a4e57ea3SLi Qianruo 471b52348aeSWilliam Wang val s2_is_prefetch = io.in.bits.isPrefetch 472dcd58560SWilliam Wang val s2_is_hw_prefetch = io.in.bits.isHWPrefetch 473a4e57ea3SLi Qianruo 474683c1411Shappy-lx val forward_D_or_mshr_valid = io.forward_result_valid && (io.forward_D || io.forward_mshr) 475683c1411Shappy-lx 476683c1411Shappy-lx // assert(!reset && io.forward_D && io.forward_mshr && io.in.valid && io.in.bits.forward_tlDchannel, "forward D and mshr at the same time") 477683c1411Shappy-lx 478a4e57ea3SLi Qianruo // exception that may cause load addr to be invalid / illegal 479a4e57ea3SLi Qianruo // 480a4e57ea3SLi Qianruo // if such exception happen, that inst and its exception info 481a4e57ea3SLi Qianruo // will be force writebacked to rob 482a4e57ea3SLi Qianruo val s2_exception_vec = WireInit(io.in.bits.uop.cf.exceptionVec) 483a4e57ea3SLi Qianruo s2_exception_vec(loadAccessFault) := io.in.bits.uop.cf.exceptionVec(loadAccessFault) || pmp.ld 484a4e57ea3SLi Qianruo // soft prefetch will not trigger any exception (but ecc error interrupt may be triggered) 485a4e57ea3SLi Qianruo when (s2_is_prefetch) { 486a4e57ea3SLi Qianruo s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType) 487a4e57ea3SLi Qianruo } 488683c1411Shappy-lx val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, lduCfg).asUInt.orR && !io.in.bits.tlbMiss 489a4e57ea3SLi Qianruo 4906786cfb7SWilliam Wang // writeback access fault caused by ecc error / bus error 491a4e57ea3SLi Qianruo // 4926786cfb7SWilliam Wang // * ecc data error is slow to generate, so we will not use it until load stage 3 4936786cfb7SWilliam Wang // * in load stage 3, an extra signal io.load_error will be used to 4946786cfb7SWilliam Wang 495a4e57ea3SLi Qianruo // now cache ecc error will raise an access fault 496a4e57ea3SLi Qianruo // at the same time, error info (including error paddr) will be write to 497a4e57ea3SLi Qianruo // an customized CSR "CACHE_ERROR" 4986786cfb7SWilliam Wang if (EnableAccurateLoadError) { 499e323d51eShappy-lx io.s3_delayed_load_error := io.dcacheResp.bits.error_delayed && 5006786cfb7SWilliam Wang io.csrCtrl.cache_error_enable && 5016786cfb7SWilliam Wang RegNext(io.out.valid) 5026786cfb7SWilliam Wang } else { 503e323d51eShappy-lx io.s3_delayed_load_error := false.B 5046786cfb7SWilliam Wang } 505a4e57ea3SLi Qianruo 506a4e57ea3SLi Qianruo val actually_mmio = pmp.mmio 5077962cc88SWilliam Wang val s2_uop = io.in.bits.uop 5087962cc88SWilliam Wang val s2_mask = io.in.bits.mask 5097962cc88SWilliam Wang val s2_paddr = io.in.bits.paddr 510d21b1759SYinan Xu val s2_tlb_miss = io.in.bits.tlbMiss 511a4e57ea3SLi Qianruo val s2_mmio = !s2_is_prefetch && actually_mmio && !s2_exception 512683c1411Shappy-lx val s2_cache_miss = io.dcacheResp.bits.miss && !forward_D_or_mshr_valid 513683c1411Shappy-lx val s2_cache_replay = io.dcacheResp.bits.replay && !forward_D_or_mshr_valid 514a469aa4bSWilliam Wang val s2_cache_tag_error = io.dcacheResp.bits.tag_error 51541962d72SWilliam Wang val s2_forward_fail = io.lsq.matchInvalid || io.sbuffer.matchInvalid 5166b6d88e6SWilliam Wang val s2_ldld_violation = io.loadViolationQueryResp.valid && 5176b6d88e6SWilliam Wang io.loadViolationQueryResp.bits.have_violation && 5186b6d88e6SWilliam Wang RegNext(io.csrCtrl.ldld_vio_check_enable) 51967cddb05SWilliam Wang val s2_data_invalid = io.lsq.dataInvalid && !s2_ldld_violation && !s2_exception 5206b6d88e6SWilliam Wang 5216b6d88e6SWilliam Wang io.dcache_kill := pmp.ld || pmp.mmio // move pmp resp kill to outside 5221279060fSWilliam Wang io.dcacheResp.ready := true.B 523d200f594SWilliam Wang val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio || s2_is_prefetch) 524d200f594SWilliam Wang assert(!(io.in.valid && (dcacheShouldResp && !io.dcacheResp.valid)), "DCache response got lost") 5257962cc88SWilliam Wang 52650f5ed78SWilliam Wang // merge forward result 52750f5ed78SWilliam Wang // lsq has higher priority than sbuffer 52850f5ed78SWilliam Wang val forwardMask = Wire(Vec(8, Bool())) 52950f5ed78SWilliam Wang val forwardData = Wire(Vec(8, UInt(8.W))) 53050f5ed78SWilliam Wang 531c3b763d0SYinan Xu val fullForward = ((~forwardMask.asUInt).asUInt & s2_mask) === 0.U && !io.lsq.dataInvalid 53250f5ed78SWilliam Wang io.lsq := DontCare 53350f5ed78SWilliam Wang io.sbuffer := DontCare 534cd365d4cSrvcoresjw io.fullForward := fullForward 53550f5ed78SWilliam Wang 53650f5ed78SWilliam Wang // generate XLEN/8 Muxs 53750f5ed78SWilliam Wang for (i <- 0 until XLEN / 8) { 53850f5ed78SWilliam Wang forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i) 53950f5ed78SWilliam Wang forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i)) 54050f5ed78SWilliam Wang } 541024ee227SWilliam Wang 542c3b763d0SYinan Xu XSDebug(io.out.fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 543b3084e27SWilliam Wang s2_uop.cf.pc, 544b3084e27SWilliam Wang io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt, 545b3084e27SWilliam Wang io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt 546b3084e27SWilliam Wang ) 547b3084e27SWilliam Wang 548024ee227SWilliam Wang // data merge 549a19ae480SWilliam Wang // val rdataVec = VecInit((0 until XLEN / 8).map(j => 550a19ae480SWilliam Wang // Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)) 551a19ae480SWilliam Wang // )) // s2_rdataVec will be write to load queue 552a19ae480SWilliam Wang // val rdata = rdataVec.asUInt 553a19ae480SWilliam Wang // val rdataSel = LookupTree(s2_paddr(2, 0), List( 554a19ae480SWilliam Wang // "b000".U -> rdata(63, 0), 555a19ae480SWilliam Wang // "b001".U -> rdata(63, 8), 556a19ae480SWilliam Wang // "b010".U -> rdata(63, 16), 557a19ae480SWilliam Wang // "b011".U -> rdata(63, 24), 558a19ae480SWilliam Wang // "b100".U -> rdata(63, 32), 559a19ae480SWilliam Wang // "b101".U -> rdata(63, 40), 560a19ae480SWilliam Wang // "b110".U -> rdata(63, 48), 561a19ae480SWilliam Wang // "b111".U -> rdata(63, 56) 562a19ae480SWilliam Wang // )) 563a19ae480SWilliam Wang // val rdataPartialLoad = rdataHelper(s2_uop, rdataSel) // s2_rdataPartialLoad is not used 564024ee227SWilliam Wang 5652cdf1575SWilliam Wang io.out.valid := io.in.valid && !s2_tlb_miss && !s2_data_invalid && !io.needReExecute && !s2_is_hw_prefetch 566a760aeb0Shappy-lx // write_lq_safe is needed by dup logic 567a760aeb0Shappy-lx // io.write_lq_safe := !s2_tlb_miss && !s2_data_invalid 5680bd67ba5SYinan Xu // Inst will be canceled in store queue / lsq, 569dd1ffd4dSWilliam Wang // so we do not need to care about flush in load / store unit's out.valid 5707962cc88SWilliam Wang io.out.bits := io.in.bits 571cb9c18dcSWilliam Wang // io.out.bits.data := rdataPartialLoad 572cb9c18dcSWilliam Wang io.out.bits.data := 0.U // data will be generated in load_s3 5739aca92b9SYinan Xu // when exception occurs, set it to not miss and let it write back to rob (via int port) 5743db2cf75SWilliam Wang if (EnableFastForward) { 575d200f594SWilliam Wang io.out.bits.miss := s2_cache_miss && 576d200f594SWilliam Wang !s2_exception && 577d200f594SWilliam Wang !fullForward && 578d200f594SWilliam Wang !s2_is_prefetch 5793db2cf75SWilliam Wang } else { 580d200f594SWilliam Wang io.out.bits.miss := s2_cache_miss && 581d200f594SWilliam Wang !s2_exception && 582d200f594SWilliam Wang !s2_is_prefetch 5833f4ec46fSCODE-JTZ } 58426a692b9SYinan Xu io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception 585cb9c18dcSWilliam Wang 586144422dcSMaxpicca-Li // val s2_loadDataFromDcache = new LoadDataFromDcacheBundle 587144422dcSMaxpicca-Li // s2_loadDataFromDcache.forwardMask := forwardMask 588144422dcSMaxpicca-Li // s2_loadDataFromDcache.forwardData := forwardData 589144422dcSMaxpicca-Li // s2_loadDataFromDcache.uop := io.out.bits.uop 590144422dcSMaxpicca-Li // s2_loadDataFromDcache.addrOffset := s2_paddr(2, 0) 591144422dcSMaxpicca-Li // // forward D or mshr 592144422dcSMaxpicca-Li // s2_loadDataFromDcache.forward_D := io.forward_D 593144422dcSMaxpicca-Li // s2_loadDataFromDcache.forwardData_D := io.forwardData_D 594144422dcSMaxpicca-Li // s2_loadDataFromDcache.forward_mshr := io.forward_mshr 595144422dcSMaxpicca-Li // s2_loadDataFromDcache.forwardData_mshr := io.forwardData_mshr 596144422dcSMaxpicca-Li // s2_loadDataFromDcache.forward_result_valid := io.forward_result_valid 597144422dcSMaxpicca-Li // io.loadDataFromDcache := RegEnable(s2_loadDataFromDcache, io.in.valid) 598144422dcSMaxpicca-Li io.loadDataFromDcache.respDcacheData := io.dcacheResp.bits.data_delayed 599144422dcSMaxpicca-Li io.loadDataFromDcache.forwardMask := RegEnable(forwardMask, io.in.valid) 600144422dcSMaxpicca-Li io.loadDataFromDcache.forwardData := RegEnable(forwardData, io.in.valid) 601144422dcSMaxpicca-Li io.loadDataFromDcache.uop := RegEnable(io.out.bits.uop, io.in.valid) 602144422dcSMaxpicca-Li io.loadDataFromDcache.addrOffset := RegEnable(s2_paddr(2, 0), io.in.valid) 603683c1411Shappy-lx // forward D or mshr 604144422dcSMaxpicca-Li io.loadDataFromDcache.forward_D := RegEnable(io.forward_D, io.in.valid) 605144422dcSMaxpicca-Li io.loadDataFromDcache.forwardData_D := RegEnable(io.forwardData_D, io.in.valid) 606144422dcSMaxpicca-Li io.loadDataFromDcache.forward_mshr := RegEnable(io.forward_mshr, io.in.valid) 607144422dcSMaxpicca-Li io.loadDataFromDcache.forwardData_mshr := RegEnable(io.forwardData_mshr, io.in.valid) 608144422dcSMaxpicca-Li io.loadDataFromDcache.forward_result_valid := RegEnable(io.forward_result_valid, io.in.valid) 609cb9c18dcSWilliam Wang 61067cddb05SWilliam Wang io.s2_can_replay_from_fetch := !s2_mmio && !s2_is_prefetch && !s2_tlb_miss 61167682d05SWilliam Wang // if forward fail, replay this inst from fetch 61267cddb05SWilliam Wang val debug_forwardFailReplay = s2_forward_fail && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss 61367682d05SWilliam Wang // if ld-ld violation is detected, replay from this inst from fetch 61467cddb05SWilliam Wang val debug_ldldVioReplay = s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_tlb_miss 61567cddb05SWilliam Wang // io.out.bits.uop.ctrl.replayInst := false.B 61667cddb05SWilliam Wang 6172c671545SYinan Xu io.out.bits.mmio := s2_mmio 6186ab6918fSYinan Xu io.out.bits.uop.ctrl.flushPipe := s2_mmio && io.sentFastUop 6196786cfb7SWilliam Wang io.out.bits.uop.cf.exceptionVec := s2_exception_vec // cache error not included 6207962cc88SWilliam Wang 6213db2cf75SWilliam Wang // For timing reasons, sometimes we can not let 6225830ba4fSWilliam Wang // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward 623a469aa4bSWilliam Wang // We use io.dataForwarded instead. It means: 624a469aa4bSWilliam Wang // 1. Forward logic have prepared all data needed, 6255830ba4fSWilliam Wang // and dcache query is no longer needed. 626a469aa4bSWilliam Wang // 2. ... or data cache tag error is detected, this kind of inst 627a469aa4bSWilliam Wang // will not update miss queue. That is to say, if miss, that inst 628a469aa4bSWilliam Wang // may not be refilled 6295830ba4fSWilliam Wang // Such inst will be writebacked from load queue. 63067cddb05SWilliam Wang io.dataForwarded := s2_cache_miss && !s2_exception && 631a9a812d4SWilliam Wang (fullForward || io.csrCtrl.cache_error_enable && s2_cache_tag_error) 63250f5ed78SWilliam Wang // io.out.bits.forwardX will be send to lq 63350f5ed78SWilliam Wang io.out.bits.forwardMask := forwardMask 634cc24c304SWilliam Wang // data from dcache is not included in io.out.bits.forwardData 635cc24c304SWilliam Wang io.out.bits.forwardData := forwardData 6365830ba4fSWilliam Wang 6377962cc88SWilliam Wang io.in.ready := io.out.ready || !io.in.valid 6387962cc88SWilliam Wang 63916c3b0b7Ssfencevma 64016c3b0b7Ssfencevma // st-ld violation query 64116c3b0b7Ssfencevma val needReExecuteVec = Wire(Vec(StorePipelineWidth, Bool())) 64216c3b0b7Ssfencevma val needReExecute = Wire(Bool()) 64316c3b0b7Ssfencevma 64416c3b0b7Ssfencevma for (i <- 0 until StorePipelineWidth) { 64516c3b0b7Ssfencevma // NeedFastRecovery Valid when 64616c3b0b7Ssfencevma // 1. Fast recovery query request Valid. 64716c3b0b7Ssfencevma // 2. Load instruction is younger than requestors(store instructions). 64816c3b0b7Ssfencevma // 3. Physical address match. 64916c3b0b7Ssfencevma // 4. Data contains. 65016c3b0b7Ssfencevma needReExecuteVec(i) := io.reExecuteQuery(i).valid && 65116c3b0b7Ssfencevma isAfter(io.in.bits.uop.robIdx, io.reExecuteQuery(i).bits.robIdx) && 65216c3b0b7Ssfencevma !s2_tlb_miss && 65316c3b0b7Ssfencevma (s2_paddr(PAddrBits-1,3) === io.reExecuteQuery(i).bits.paddr(PAddrBits-1, 3)) && 65416c3b0b7Ssfencevma (s2_mask & io.reExecuteQuery(i).bits.mask).orR 65516c3b0b7Ssfencevma } 65616c3b0b7Ssfencevma needReExecute := needReExecuteVec.asUInt.orR 65716c3b0b7Ssfencevma io.needReExecute := needReExecute 65816c3b0b7Ssfencevma 659b52348aeSWilliam Wang // rs slow feedback port in nanhu is not used for now 660a760aeb0Shappy-lx io.rsFeedback.valid := false.B 661b52348aeSWilliam Wang io.rsFeedback.bits := DontCare 662ce28536fSWilliam Wang 663b52348aeSWilliam Wang // request rep-lay from load replay queue, fast port 664a760aeb0Shappy-lx io.replaySlow.valid := io.in.valid 665a760aeb0Shappy-lx io.replaySlow.tlb_hited := !s2_tlb_miss 66616c3b0b7Ssfencevma io.replaySlow.st_ld_check_ok := !needReExecute 667a760aeb0Shappy-lx if (EnableFastForward) { 668a760aeb0Shappy-lx io.replaySlow.cache_no_replay := !s2_cache_replay || s2_is_prefetch || s2_mmio || s2_exception || fullForward 669a760aeb0Shappy-lx }else { 670a760aeb0Shappy-lx io.replaySlow.cache_no_replay := !s2_cache_replay || s2_is_prefetch || s2_mmio || s2_exception || io.dataForwarded 671a760aeb0Shappy-lx } 672a760aeb0Shappy-lx io.replaySlow.forward_data_valid := !s2_data_invalid || s2_is_prefetch 673683c1411Shappy-lx io.replaySlow.cache_hited := !io.out.bits.miss || io.out.bits.mmio 674683c1411Shappy-lx io.replaySlow.can_forward_full_data := io.dataForwarded 675a760aeb0Shappy-lx io.replaySlow.ld_idx := io.in.bits.uop.lqIdx.value 676a760aeb0Shappy-lx io.replaySlow.data_invalid_sq_idx := io.dataInvalidSqIdx 677144422dcSMaxpicca-Li io.replaySlow.replayCarry := io.dcacheResp.bits.replayCarry 678683c1411Shappy-lx io.replaySlow.miss_mshr_id := io.dcacheResp.bits.mshr_id 679683c1411Shappy-lx io.replaySlow.data_in_last_beat := io.in.bits.paddr(log2Up(refillBytes)) 680a760aeb0Shappy-lx 6812cdf1575SWilliam Wang // To be removed 6822cdf1575SWilliam Wang val s2_need_replay_from_rs = Wire(Bool()) 6832cdf1575SWilliam Wang if (EnableFastForward) { 6842cdf1575SWilliam Wang s2_need_replay_from_rs := 6852cdf1575SWilliam Wang needReExecute || 6862cdf1575SWilliam Wang s2_tlb_miss || // replay if dtlb miss 6872cdf1575SWilliam Wang s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !fullForward || // replay if dcache miss queue full / busy 6882cdf1575SWilliam Wang s2_data_invalid && !s2_is_prefetch // replay if store to load forward data is not ready 6892cdf1575SWilliam Wang } else { 6902cdf1575SWilliam Wang // Note that if all parts of data are available in sq / sbuffer, replay required by dcache will not be scheduled 6912cdf1575SWilliam Wang s2_need_replay_from_rs := 6922cdf1575SWilliam Wang needReExecute || 6932cdf1575SWilliam Wang s2_tlb_miss || // replay if dtlb miss 6942cdf1575SWilliam Wang s2_cache_replay && !s2_is_prefetch && !s2_mmio && !s2_exception && !io.dataForwarded || // replay if dcache miss queue full / busy 6952cdf1575SWilliam Wang s2_data_invalid && !s2_is_prefetch // replay if store to load forward data is not ready 6962cdf1575SWilliam Wang } 6972cdf1575SWilliam Wang 698ce28536fSWilliam Wang // s2_cache_replay is quite slow to generate, send it separately to LQ 699a98b054bSWilliam Wang if (EnableFastForward) { 70067cddb05SWilliam Wang io.s2_dcache_require_replay := s2_cache_replay && !fullForward 701a98b054bSWilliam Wang } else { 70267cddb05SWilliam Wang io.s2_dcache_require_replay := s2_cache_replay && 703a760aeb0Shappy-lx s2_need_replay_from_rs && 7046b6d88e6SWilliam Wang !io.dataForwarded && 7056b6d88e6SWilliam Wang !s2_is_prefetch && 7066b6d88e6SWilliam Wang io.out.bits.miss 707a98b054bSWilliam Wang } 708ce28536fSWilliam Wang 709d8798cc8SYinan Xu XSPerfAccumulate("in_valid", io.in.valid) 710d8798cc8SYinan Xu XSPerfAccumulate("in_fire", io.in.fire) 711d8798cc8SYinan Xu XSPerfAccumulate("in_fire_first_issue", io.in.fire && io.in.bits.isFirstIssue) 712d8798cc8SYinan Xu XSPerfAccumulate("dcache_miss", io.in.fire && s2_cache_miss) 713d8798cc8SYinan Xu XSPerfAccumulate("dcache_miss_first_issue", io.in.fire && s2_cache_miss && io.in.bits.isFirstIssue) 714408a32b7SAllen XSPerfAccumulate("full_forward", io.in.valid && fullForward) 715408a32b7SAllen XSPerfAccumulate("dcache_miss_full_forward", io.in.valid && s2_cache_miss && fullForward) 7161b7adedcSWilliam Wang XSPerfAccumulate("replay", io.rsFeedback.valid && !io.rsFeedback.bits.hit) 7171b7adedcSWilliam Wang XSPerfAccumulate("replay_tlb_miss", io.rsFeedback.valid && !io.rsFeedback.bits.hit && s2_tlb_miss) 7181b7adedcSWilliam Wang XSPerfAccumulate("replay_cache", io.rsFeedback.valid && !io.rsFeedback.bits.hit && !s2_tlb_miss && s2_cache_replay) 719408a32b7SAllen XSPerfAccumulate("stall_out", io.out.valid && !io.out.ready) 72067cddb05SWilliam Wang XSPerfAccumulate("replay_from_fetch_forward", io.out.valid && debug_forwardFailReplay) 72167cddb05SWilliam Wang XSPerfAccumulate("replay_from_fetch_load_vio", io.out.valid && debug_ldldVioReplay) 722a760aeb0Shappy-lx XSPerfAccumulate("replay_lq", io.replaySlow.valid && (!io.replaySlow.tlb_hited || !io.replaySlow.cache_no_replay || !io.replaySlow.forward_data_valid)) 723a760aeb0Shappy-lx XSPerfAccumulate("replay_tlb_miss_lq", io.replaySlow.valid && !io.replaySlow.tlb_hited) 72416c3b0b7Ssfencevma XSPerfAccumulate("replay_sl_vio", io.replaySlow.valid && io.replaySlow.tlb_hited && !io.replaySlow.st_ld_check_ok) 72516c3b0b7Ssfencevma XSPerfAccumulate("replay_cache_lq", io.replaySlow.valid && io.replaySlow.tlb_hited && io.replaySlow.st_ld_check_ok && !io.replaySlow.cache_no_replay) 726683c1411Shappy-lx XSPerfAccumulate("replay_cache_miss_lq", io.replaySlow.valid && !io.replaySlow.cache_hited) 72770bbe6d5SWilliam Wang XSPerfAccumulate("prefetch", io.in.fire && s2_is_prefetch) 72800575ac8SWilliam Wang XSPerfAccumulate("prefetch_ignored", io.in.fire && s2_is_prefetch && s2_cache_replay) // ignore prefetch for mshr full / miss req port conflict 72970bbe6d5SWilliam Wang XSPerfAccumulate("prefetch_miss", io.in.fire && s2_is_prefetch && s2_cache_miss) // prefetch req miss in l1 73070bbe6d5SWilliam Wang XSPerfAccumulate("prefetch_hit", io.in.fire && s2_is_prefetch && !s2_cache_miss) // prefetch req hit in l1 73170bbe6d5SWilliam Wang // prefetch a missed line in l1, and l1 accepted it 73270bbe6d5SWilliam Wang XSPerfAccumulate("prefetch_accept", io.in.fire && s2_is_prefetch && s2_cache_miss && !s2_cache_replay) 7337962cc88SWilliam Wang} 7347962cc88SWilliam Wang 73509203307SWilliam Wangclass LoadUnit(implicit p: Parameters) extends XSModule 73609203307SWilliam Wang with HasLoadHelper 73709203307SWilliam Wang with HasPerfEvents 73809203307SWilliam Wang with HasDCacheParameters 73909203307SWilliam Wang{ 740024ee227SWilliam Wang val io = IO(new Bundle() { 741024ee227SWilliam Wang val ldin = Flipped(Decoupled(new ExuInput)) 742024ee227SWilliam Wang val ldout = Decoupled(new ExuOutput) 743024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 744d87b76aaSWilliam Wang val feedbackSlow = ValidIO(new RSFeedback) 745d87b76aaSWilliam Wang val feedbackFast = ValidIO(new RSFeedback) 74664e8d8bdSZhangZifei val rsIdx = Input(UInt(log2Up(IssQueSize).W)) 747ee46cd6eSLemover val isFirstIssue = Input(Bool()) 7481279060fSWilliam Wang val dcache = new DCacheLoadIO 749024ee227SWilliam Wang val sbuffer = new LoadForwardQueryIO 7500bd67ba5SYinan Xu val lsq = new LoadToLsqIO 751683c1411Shappy-lx val tlDchannel = Input(new DcacheToLduForwardIO) 752683c1411Shappy-lx val forward_mshr = Flipped(new LduToMissqueueForwardIO) 75309203307SWilliam Wang val refill = Flipped(ValidIO(new Refill)) 754c837faaaSWilliam Wang val fastUop = ValidIO(new MicroOp) // early wakeup signal generated in load_s1, send to RS in load_s2 755b978565cSWilliam Wang val trigger = Vec(3, new LoadUnitTriggerIO) 756a0301c0dSLemover 75703efd994Shappy-lx val tlb = new TlbRequestIO(2) 758ca2f90a6SLemover val pmp = Flipped(new PMPRespBundle()) // arrive same to tlb now 759b6982e83SLemover 760b52348aeSWilliam Wang // provide prefetch info 7613af6aa6eSWilliam Wang val prefetch_train = ValidIO(new LdPrefetchTrainBundle()) 762b52348aeSWilliam Wang 763b52348aeSWilliam Wang // hardware prefetch to l1 cache req 764b52348aeSWilliam Wang val prefetch_req = Flipped(ValidIO(new L1PrefetchReq)) 765b52348aeSWilliam Wang 766b52348aeSWilliam Wang // load to load fast path 767e3f759aeSWilliam Wang val fastpathOut = Output(new LoadToLoadIO) 768c3b763d0SYinan Xu val fastpathIn = Input(new LoadToLoadIO) 769c3b763d0SYinan Xu val loadFastMatch = Input(Bool()) 770ad879770SYinan Xu val loadFastImm = Input(UInt(12.W)) 77167682d05SWilliam Wang 772b52348aeSWilliam Wang // load ecc 773e323d51eShappy-lx val s3_delayed_load_error = Output(Bool()) // load ecc error 774e323d51eShappy-lx // Note that io.s3_delayed_load_error and io.lsq.s3_delayed_load_error is different 7756786cfb7SWilliam Wang 776b52348aeSWilliam Wang // load unit ctrl 77767682d05SWilliam Wang val csrCtrl = Flipped(new CustomCSRCtrlIO) 778*0ce3de17SYinan Xu 77916c3b0b7Ssfencevma val reExecuteQuery = Flipped(Vec(StorePipelineWidth, Valid(new LoadReExecuteQueryIO))) // load replay 780a760aeb0Shappy-lx val lsqOut = Flipped(Decoupled(new LsPipelineBundle)) 781*0ce3de17SYinan Xu val s2IsPointerChasing = Output(Bool()) // provide right pc for hw prefetch 782024ee227SWilliam Wang }) 783024ee227SWilliam Wang 7847962cc88SWilliam Wang val load_s0 = Module(new LoadUnit_S0) 7857962cc88SWilliam Wang val load_s1 = Module(new LoadUnit_S1) 7867962cc88SWilliam Wang val load_s2 = Module(new LoadUnit_S2) 787024ee227SWilliam Wang 788a760aeb0Shappy-lx load_s0.io.lsqOut <> io.lsqOut 789a760aeb0Shappy-lx 79067cddb05SWilliam Wang // load s0 7917962cc88SWilliam Wang load_s0.io.in <> io.ldin 792a0301c0dSLemover load_s0.io.dtlbReq <> io.tlb.req 7931279060fSWilliam Wang load_s0.io.dcacheReq <> io.dcache.req 79464e8d8bdSZhangZifei load_s0.io.rsIdx := io.rsIdx 795ee46cd6eSLemover load_s0.io.isFirstIssue := io.isFirstIssue 796c3b763d0SYinan Xu load_s0.io.s0_kill := false.B 797dcd58560SWilliam Wang 798dcd58560SWilliam Wang // we try pointerchasing when: 799dcd58560SWilliam Wang // 1) no rs-issued load 800dcd58560SWilliam Wang // 2) no LSQ replayed load 801dcd58560SWilliam Wang // 3) no prefetch request 802dcd58560SWilliam Wang val s0_tryPointerChasing = !io.ldin.valid && !io.lsqOut.valid && io.fastpathIn.valid && !io.prefetch_req.valid 803ad879770SYinan Xu val s0_pointerChasingVAddr = io.fastpathIn.data(5, 0) +& io.loadFastImm(5, 0) 804a19ae480SWilliam Wang load_s0.io.fastpath.valid := io.fastpathIn.valid 805a19ae480SWilliam Wang load_s0.io.fastpath.data := Cat(io.fastpathIn.data(XLEN-1, 6), s0_pointerChasingVAddr(5,0)) 806024ee227SWilliam Wang 807eec8e2e4SYinan Xu val s1_data = PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, 808eec8e2e4SYinan Xu load_s0.io.out.bits.uop.robIdx.needFlush(io.redirect) && !s0_tryPointerChasing).get 809024ee227SWilliam Wang 81067cddb05SWilliam Wang // load s1 811a760aeb0Shappy-lx // update s1_kill when any source has valid request 812a760aeb0Shappy-lx load_s1.io.s1_kill := RegEnable(load_s0.io.s0_kill, false.B, io.ldin.valid || io.lsqOut.valid || io.fastpathIn.valid) 81334ffc2fbSWilliam Wang io.tlb.req_kill := load_s1.io.s1_kill 814a0301c0dSLemover load_s1.io.dtlbResp <> io.tlb.resp 81503efd994Shappy-lx io.dcache.s1_paddr_dup_lsu <> load_s1.io.lsuPAddr 81603efd994Shappy-lx io.dcache.s1_paddr_dup_dcache <> load_s1.io.dcachePAddr 817c3b763d0SYinan Xu io.dcache.s1_kill := load_s1.io.dcacheKill 818d0f66e88SYinan Xu load_s1.io.sbuffer <> io.sbuffer 819d0f66e88SYinan Xu load_s1.io.lsq <> io.lsq.forward 82067682d05SWilliam Wang load_s1.io.loadViolationQueryReq <> io.lsq.loadViolationQuery.req 821d87b76aaSWilliam Wang load_s1.io.dcacheBankConflict <> io.dcache.s1_bank_conflict 82267682d05SWilliam Wang load_s1.io.csrCtrl <> io.csrCtrl 82316c3b0b7Ssfencevma load_s1.io.reExecuteQuery := io.reExecuteQuery 824a760aeb0Shappy-lx // provide paddr and vaddr for lq 825dcd58560SWilliam Wang io.lsq.loadPaddrIn.valid := load_s1.io.out.valid && !load_s1.io.out.bits.isHWPrefetch 826a760aeb0Shappy-lx io.lsq.loadPaddrIn.bits.lqIdx := load_s1.io.out.bits.uop.lqIdx 827a760aeb0Shappy-lx io.lsq.loadPaddrIn.bits.paddr := load_s1.io.lsuPAddr 828a760aeb0Shappy-lx 829a760aeb0Shappy-lx io.lsq.loadVaddrIn.valid := load_s1.io.in.valid && !load_s1.io.s1_kill 830a760aeb0Shappy-lx io.lsq.loadVaddrIn.bits.lqIdx := load_s1.io.out.bits.uop.lqIdx 831a760aeb0Shappy-lx io.lsq.loadVaddrIn.bits.vaddr := load_s1.io.out.bits.vaddr 832a760aeb0Shappy-lx 833a760aeb0Shappy-lx // when S0 has opportunity to try pointerchasing, make sure it truely goes to S1 834a760aeb0Shappy-lx // which is S0's out is ready and dcache is ready 835a760aeb0Shappy-lx val s0_doTryPointerChasing = s0_tryPointerChasing && load_s0.io.out.ready && load_s0.io.dcacheReq.ready 836ad879770SYinan Xu val s1_tryPointerChasing = RegNext(s0_doTryPointerChasing, false.B) 837ad879770SYinan Xu val s1_pointerChasingVAddr = RegEnable(s0_pointerChasingVAddr, s0_doTryPointerChasing) 838c3b763d0SYinan Xu val cancelPointerChasing = WireInit(false.B) 839c3b763d0SYinan Xu if (EnableLoadToLoadForward) { 840c3b763d0SYinan Xu // Sometimes, we need to cancel the load-load forwarding. 841c3b763d0SYinan Xu // These can be put at S0 if timing is bad at S1. 842c3b763d0SYinan Xu // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow) 843ad879770SYinan Xu val addressMisMatch = s1_pointerChasingVAddr(6) || RegEnable(io.loadFastImm(11, 6).orR, s0_doTryPointerChasing) 844c3b763d0SYinan Xu // Case 1: the address is not 64-bit aligned or the fuOpType is not LD 845ad879770SYinan Xu val addressNotAligned = s1_pointerChasingVAddr(2, 0).orR 846c3b763d0SYinan Xu val fuOpTypeIsNotLd = io.ldin.bits.uop.ctrl.fuOpType =/= LSUOpType.ld 847c3b763d0SYinan Xu // Case 2: this is not a valid load-load pair 848c3b763d0SYinan Xu val notFastMatch = RegEnable(!io.loadFastMatch, s0_tryPointerChasing) 849c3b763d0SYinan Xu // Case 3: this load-load uop is cancelled 850c3b763d0SYinan Xu val isCancelled = !io.ldin.valid 851c3b763d0SYinan Xu when (s1_tryPointerChasing) { 852c3b763d0SYinan Xu cancelPointerChasing := addressMisMatch || addressNotAligned || fuOpTypeIsNotLd || notFastMatch || isCancelled 853c3b763d0SYinan Xu load_s1.io.in.bits.uop := io.ldin.bits.uop 854eec8e2e4SYinan Xu val spec_vaddr = s1_data.vaddr 855ad879770SYinan Xu val vaddr = Cat(spec_vaddr(VAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W)) 856eec8e2e4SYinan Xu load_s1.io.in.bits.vaddr := vaddr 857c3b763d0SYinan Xu load_s1.io.in.bits.rsIdx := io.rsIdx 858c3b763d0SYinan Xu load_s1.io.in.bits.isFirstIssue := io.isFirstIssue 859c3b763d0SYinan Xu // We need to replace vaddr(5, 3). 86074fe3640SYinan Xu val spec_paddr = io.tlb.resp.bits.paddr(0) 86174fe3640SYinan Xu load_s1.io.dtlbResp.bits.paddr.foreach(_ := Cat(spec_paddr(PAddrBits - 1, 6), s1_pointerChasingVAddr(5, 3), 0.U(3.W))) 862c3b763d0SYinan Xu } 863c3b763d0SYinan Xu when (cancelPointerChasing) { 864c3b763d0SYinan Xu load_s1.io.s1_kill := true.B 865c3b763d0SYinan Xu }.otherwise { 866683c1411Shappy-lx load_s0.io.s0_kill := s1_tryPointerChasing && !io.lsqOut.valid 867c3b763d0SYinan Xu when (s1_tryPointerChasing) { 868c3b763d0SYinan Xu io.ldin.ready := true.B 869c3b763d0SYinan Xu } 870c3b763d0SYinan Xu } 871c3b763d0SYinan Xu 872c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward", s1_tryPointerChasing && !cancelPointerChasing) 873c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_try", s1_tryPointerChasing) 874c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail", cancelPointerChasing) 875c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail_cancelled", cancelPointerChasing && isCancelled) 876c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", cancelPointerChasing && !isCancelled && notFastMatch) 877c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail_op_not_ld", 878c3b763d0SYinan Xu cancelPointerChasing && !isCancelled && !notFastMatch && fuOpTypeIsNotLd) 879c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail_addr_align", 880c3b763d0SYinan Xu cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && addressNotAligned) 881c3b763d0SYinan Xu XSPerfAccumulate("load_to_load_forward_fail_set_mismatch", 882c3b763d0SYinan Xu cancelPointerChasing && !isCancelled && !notFastMatch && !fuOpTypeIsNotLd && !addressNotAligned && addressMisMatch) 883c3b763d0SYinan Xu } 884c3b763d0SYinan Xu PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, 885c3b763d0SYinan Xu load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect) || cancelPointerChasing) 886024ee227SWilliam Wang 887683c1411Shappy-lx val (forward_D, forwardData_D) = io.tlDchannel.forward(load_s1.io.out.valid && load_s1.io.out.bits.forward_tlDchannel, load_s1.io.out.bits.mshrid, load_s1.io.out.bits.paddr) 8880a47e4a1SWilliam Wang 889683c1411Shappy-lx io.forward_mshr.valid := load_s1.io.out.valid && load_s1.io.out.bits.forward_tlDchannel 890683c1411Shappy-lx io.forward_mshr.mshrid := load_s1.io.out.bits.mshrid 891683c1411Shappy-lx io.forward_mshr.paddr := load_s1.io.out.bits.paddr 892683c1411Shappy-lx val (forward_result_valid, forward_mshr, forwardData_mshr) = io.forward_mshr.forward() 893683c1411Shappy-lx 894683c1411Shappy-lx XSPerfAccumulate("successfully_forward_channel_D", forward_D && forward_result_valid) 895683c1411Shappy-lx XSPerfAccumulate("successfully_forward_mshr", forward_mshr && forward_result_valid) 89667cddb05SWilliam Wang // load s2 897683c1411Shappy-lx load_s2.io.forward_D := forward_D 898683c1411Shappy-lx load_s2.io.forwardData_D := forwardData_D 899683c1411Shappy-lx load_s2.io.forward_result_valid := forward_result_valid 900683c1411Shappy-lx load_s2.io.forward_mshr := forward_mshr 901683c1411Shappy-lx load_s2.io.forwardData_mshr := forwardData_mshr 9023af6aa6eSWilliam Wang io.s2IsPointerChasing := RegEnable(s1_tryPointerChasing && !cancelPointerChasing, load_s1.io.out.fire) 9033af6aa6eSWilliam Wang io.prefetch_train.bits.fromLsPipelineBundle(load_s2.io.in.bits) 904f21b441aSLinJiawei // override miss bit 905f21b441aSLinJiawei io.prefetch_train.bits.miss := io.dcache.resp.bits.miss 9063af6aa6eSWilliam Wang io.prefetch_train.bits.meta_prefetch := io.dcache.resp.bits.meta_prefetch 9073af6aa6eSWilliam Wang io.prefetch_train.bits.meta_access := io.dcache.resp.bits.meta_access 9083af6aa6eSWilliam Wang io.prefetch_train.valid := load_s2.io.in.fire && !load_s2.io.out.bits.mmio && !load_s2.io.in.bits.tlbMiss 909a4e57ea3SLi Qianruo io.dcache.s2_kill := load_s2.io.dcache_kill // to kill mmio resp which are redirected 9102db9ec44SLinJiawei io.dcache.s2_pc := load_s2.io.out.bits.uop.cf.pc 9111279060fSWilliam Wang load_s2.io.dcacheResp <> io.dcache.resp 912b6982e83SLemover load_s2.io.pmpResp <> io.pmp 913a4e57ea3SLi Qianruo load_s2.io.static_pm := RegNext(io.tlb.resp.bits.static_pm) 914b3084e27SWilliam Wang load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData 915b3084e27SWilliam Wang load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask 9163db2cf75SWilliam Wang load_s2.io.lsq.forwardMaskFast <> io.lsq.forward.forwardMaskFast // should not be used in load_s2 9171b7adedcSWilliam Wang load_s2.io.lsq.dataInvalid <> io.lsq.forward.dataInvalid 918672f1d35SWilliam Wang load_s2.io.lsq.matchInvalid <> io.lsq.forward.matchInvalid 919995f167cSYinan Xu load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData 920995f167cSYinan Xu load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask 9213db2cf75SWilliam Wang load_s2.io.sbuffer.forwardMaskFast <> io.sbuffer.forwardMaskFast // should not be used in load_s2 9221b7adedcSWilliam Wang load_s2.io.sbuffer.dataInvalid <> io.sbuffer.dataInvalid // always false 923672f1d35SWilliam Wang load_s2.io.sbuffer.matchInvalid <> io.sbuffer.matchInvalid 924e323d51eShappy-lx load_s2.io.dataForwarded <> io.lsq.s2_load_data_forwarded 925c7160cd3SWilliam Wang load_s2.io.dataInvalidSqIdx := io.lsq.forward.dataInvalidSqIdx // provide dataInvalidSqIdx to make wakeup faster 92667682d05SWilliam Wang load_s2.io.loadViolationQueryResp <> io.lsq.loadViolationQuery.resp 92767682d05SWilliam Wang load_s2.io.csrCtrl <> io.csrCtrl 928c837faaaSWilliam Wang load_s2.io.sentFastUop := io.fastUop.valid 92916c3b0b7Ssfencevma load_s2.io.reExecuteQuery := io.reExecuteQuery 930dd64debdSWilliam Wang // feedback bank conflict / ld-vio check struct hazard to rs 931dd64debdSWilliam Wang io.feedbackFast.bits := RegNext(load_s1.io.rsFeedback.bits) 932dd64debdSWilliam Wang io.feedbackFast.valid := RegNext(load_s1.io.rsFeedback.valid && !load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) 933d87b76aaSWilliam Wang 9347830f711SWilliam Wang // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding 935a760aeb0Shappy-lx val sqIdxMaskReg = RegNext(UIntToMask(load_s0.io.s0_sqIdx.value, StoreQueueSize)) 936c3b763d0SYinan Xu // to enable load-load, sqIdxMask must be calculated based on ldin.uop 937c3b763d0SYinan Xu // If the timing here is not OK, load-load forwarding has to be disabled. 938c3b763d0SYinan Xu // Or we calculate sqIdxMask at RS?? 9397830f711SWilliam Wang io.lsq.forward.sqIdxMask := sqIdxMaskReg 940c3b763d0SYinan Xu if (EnableLoadToLoadForward) { 941c3b763d0SYinan Xu when (s1_tryPointerChasing) { 942c3b763d0SYinan Xu io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize) 943c3b763d0SYinan Xu } 944c3b763d0SYinan Xu } 945024ee227SWilliam Wang 9467f376046SLemover // // use s2_hit_way to select data received in s1 9477f376046SLemover // load_s2.io.dcacheResp.bits.data := Mux1H(RegNext(io.dcache.s1_hit_way), RegNext(io.dcache.s1_data)) 9487f376046SLemover // assert(load_s2.io.dcacheResp.bits.data === io.dcache.resp.bits.data) 9497f376046SLemover 950c837faaaSWilliam Wang // now io.fastUop.valid is sent to RS in load_s2 951683c1411Shappy-lx val forward_D_or_mshr_valid = forward_result_valid && (forward_D || forward_mshr) 952683c1411Shappy-lx val s2_dcache_hit = io.dcache.s2_hit || forward_D_or_mshr_valid // dcache hit dup in lsu side 95303efd994Shappy-lx 954c837faaaSWilliam Wang io.fastUop.valid := RegNext( 9553db2cf75SWilliam Wang !io.dcache.s1_disable_fast_wakeup && // load fast wakeup should be disabled when dcache data read is not ready 956c3b763d0SYinan Xu load_s1.io.in.valid && // valid load request 957dcd58560SWilliam Wang !load_s1.io.in.bits.isHWPrefetch && // is not hardware prefetch req 958c3b763d0SYinan Xu !load_s1.io.s1_kill && // killed by load-load forwarding 959b8ed3dc1SWilliam Wang !load_s1.io.dtlbResp.bits.fast_miss && // not mmio or tlb miss, pf / af not included here 96003efd994Shappy-lx !io.lsq.forward.dataInvalidFast // forward failed 96103efd994Shappy-lx ) && 96203efd994Shappy-lx !RegNext(load_s1.io.needLdVioCheckRedo) && // load-load violation check: load paddr cam struct hazard 96316c3b0b7Ssfencevma !RegNext(load_s1.io.needReExecute) && 96403efd994Shappy-lx !RegNext(load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) && 96516c3b0b7Ssfencevma (load_s2.io.in.valid && !load_s2.io.needReExecute && s2_dcache_hit) // dcache hit in lsu side 96603efd994Shappy-lx 967c837faaaSWilliam Wang io.fastUop.bits := RegNext(load_s1.io.out.bits.uop) 9687f376046SLemover 9697962cc88SWilliam Wang XSDebug(load_s0.io.out.valid, 97048ae2f92SWilliam Wang p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " + 9717962cc88SWilliam Wang p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n") 9727962cc88SWilliam Wang XSDebug(load_s1.io.out.valid, 973a0301c0dSLemover p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " + 97406c91a3dSWilliam Wang p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n") 975024ee227SWilliam Wang 9760bd67ba5SYinan Xu // writeback to LSQ 977024ee227SWilliam Wang // Current dcache use MSHR 978c5c06e78SWilliam Wang // Load queue will be updated at s2 for both hit/miss int/fp load 979dcd58560SWilliam Wang io.lsq.loadIn.valid := load_s2.io.out.valid && !load_s2.io.out.bits.isHWPrefetch 980e323d51eShappy-lx // generate LqWriteBundle from LsPipelineBundle 981e323d51eShappy-lx io.lsq.loadIn.bits.fromLsPipelineBundle(load_s2.io.out.bits) 982a760aeb0Shappy-lx 983a760aeb0Shappy-lx io.lsq.replayFast := load_s1.io.replayFast 984a760aeb0Shappy-lx io.lsq.replaySlow := load_s2.io.replaySlow 985a760aeb0Shappy-lx io.lsq.replaySlow.valid := load_s2.io.replaySlow.valid && !load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect) 986a760aeb0Shappy-lx 987c1af2986SWilliam Wang // generate duplicated load queue data wen 988c1af2986SWilliam Wang val load_s2_valid_vec = RegInit(0.U(6.W)) 989c1af2986SWilliam Wang val load_s2_leftFire = load_s1.io.out.valid && load_s2.io.in.ready 990a760aeb0Shappy-lx // val write_lq_safe = load_s2.io.write_lq_safe 991c1af2986SWilliam Wang load_s2_valid_vec := 0x0.U(6.W) 992dcd58560SWilliam Wang when (load_s2_leftFire && !load_s1.io.out.bits.isHWPrefetch) { load_s2_valid_vec := 0x3f.U(6.W)} // TODO: refactor me 993c1af2986SWilliam Wang when (load_s1.io.out.bits.uop.robIdx.needFlush(io.redirect)) { load_s2_valid_vec := 0x0.U(6.W) } 994dcd58560SWilliam Wang assert(RegNext((load_s2.io.in.valid === load_s2_valid_vec(0)) || RegNext(load_s1.io.out.bits.isHWPrefetch))) 995c1af2986SWilliam Wang io.lsq.loadIn.bits.lq_data_wen_dup := load_s2_valid_vec.asBools() 99626a692b9SYinan Xu 99767cddb05SWilliam Wang // s2_dcache_require_replay signal will be RegNexted, then used in s3 99867cddb05SWilliam Wang io.lsq.s2_dcache_require_replay := load_s2.io.s2_dcache_require_replay 99967cddb05SWilliam Wang 100026a692b9SYinan Xu // write to rob and writeback bus 1001ec195fd8SYinan Xu val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss && !load_s2.io.out.bits.mmio 1002024ee227SWilliam Wang 1003c5c06e78SWilliam Wang // Int load, if hit, will be writebacked at s2 1004ef638ab2SWilliam Wang val hitLoadOut = Wire(Valid(new ExuOutput)) 1005ef638ab2SWilliam Wang hitLoadOut.valid := s2_wb_valid 1006ef638ab2SWilliam Wang hitLoadOut.bits.uop := load_s2.io.out.bits.uop 1007ef638ab2SWilliam Wang hitLoadOut.bits.data := load_s2.io.out.bits.data 1008ef638ab2SWilliam Wang hitLoadOut.bits.redirectValid := false.B 1009ef638ab2SWilliam Wang hitLoadOut.bits.redirect := DontCare 1010ef638ab2SWilliam Wang hitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio 1011ef638ab2SWilliam Wang hitLoadOut.bits.debug.isPerfCnt := false.B 1012ef638ab2SWilliam Wang hitLoadOut.bits.debug.paddr := load_s2.io.out.bits.paddr 101372951335SLi Qianruo hitLoadOut.bits.debug.vaddr := load_s2.io.out.bits.vaddr 1014ef638ab2SWilliam Wang hitLoadOut.bits.fflags := DontCare 1015024ee227SWilliam Wang 10167962cc88SWilliam Wang load_s2.io.out.ready := true.B 1017c5c06e78SWilliam Wang 1018353424a7SWilliam Wang // load s3 1019cb9c18dcSWilliam Wang val s3_load_wb_meta_reg = RegNext(Mux(hitLoadOut.valid, hitLoadOut.bits, io.lsq.ldout.bits)) 1020cb9c18dcSWilliam Wang 1021cb9c18dcSWilliam Wang // data from load queue refill 1022cb9c18dcSWilliam Wang val s3_loadDataFromLQ = RegEnable(io.lsq.ldRawData, io.lsq.ldout.valid) 1023cb9c18dcSWilliam Wang val s3_rdataLQ = s3_loadDataFromLQ.mergedData() 1024cb9c18dcSWilliam Wang val s3_rdataSelLQ = LookupTree(s3_loadDataFromLQ.addrOffset, List( 1025cb9c18dcSWilliam Wang "b000".U -> s3_rdataLQ(63, 0), 1026cb9c18dcSWilliam Wang "b001".U -> s3_rdataLQ(63, 8), 1027cb9c18dcSWilliam Wang "b010".U -> s3_rdataLQ(63, 16), 1028cb9c18dcSWilliam Wang "b011".U -> s3_rdataLQ(63, 24), 1029cb9c18dcSWilliam Wang "b100".U -> s3_rdataLQ(63, 32), 1030cb9c18dcSWilliam Wang "b101".U -> s3_rdataLQ(63, 40), 1031cb9c18dcSWilliam Wang "b110".U -> s3_rdataLQ(63, 48), 1032cb9c18dcSWilliam Wang "b111".U -> s3_rdataLQ(63, 56) 1033cb9c18dcSWilliam Wang )) 1034cb9c18dcSWilliam Wang val s3_rdataPartialLoadLQ = rdataHelper(s3_loadDataFromLQ.uop, s3_rdataSelLQ) 1035cb9c18dcSWilliam Wang 1036cb9c18dcSWilliam Wang // data from dcache hit 1037144422dcSMaxpicca-Li val s3_loadDataFromDcache = load_s2.io.loadDataFromDcache 1038cb9c18dcSWilliam Wang val s3_rdataDcache = s3_loadDataFromDcache.mergedData() 1039cb9c18dcSWilliam Wang val s3_rdataSelDcache = LookupTree(s3_loadDataFromDcache.addrOffset, List( 1040cb9c18dcSWilliam Wang "b000".U -> s3_rdataDcache(63, 0), 1041cb9c18dcSWilliam Wang "b001".U -> s3_rdataDcache(63, 8), 1042cb9c18dcSWilliam Wang "b010".U -> s3_rdataDcache(63, 16), 1043cb9c18dcSWilliam Wang "b011".U -> s3_rdataDcache(63, 24), 1044cb9c18dcSWilliam Wang "b100".U -> s3_rdataDcache(63, 32), 1045cb9c18dcSWilliam Wang "b101".U -> s3_rdataDcache(63, 40), 1046cb9c18dcSWilliam Wang "b110".U -> s3_rdataDcache(63, 48), 1047cb9c18dcSWilliam Wang "b111".U -> s3_rdataDcache(63, 56) 1048cb9c18dcSWilliam Wang )) 1049cb9c18dcSWilliam Wang val s3_rdataPartialLoadDcache = rdataHelper(s3_loadDataFromDcache.uop, s3_rdataSelDcache) 1050cb9c18dcSWilliam Wang 1051cb9c18dcSWilliam Wang io.ldout.bits := s3_load_wb_meta_reg 1052cb9c18dcSWilliam Wang io.ldout.bits.data := Mux(RegNext(hitLoadOut.valid), s3_rdataPartialLoadDcache, s3_rdataPartialLoadLQ) 1053c837faaaSWilliam Wang io.ldout.valid := RegNext(hitLoadOut.valid) && !RegNext(load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect)) || 1054c837faaaSWilliam Wang RegNext(io.lsq.ldout.valid) && !RegNext(io.lsq.ldout.bits.uop.robIdx.needFlush(io.redirect)) && !RegNext(hitLoadOut.valid) 1055c837faaaSWilliam Wang 1056cb9c18dcSWilliam Wang io.ldout.bits.uop.cf.exceptionVec(loadAccessFault) := s3_load_wb_meta_reg.uop.cf.exceptionVec(loadAccessFault) || 1057353424a7SWilliam Wang RegNext(hitLoadOut.valid) && load_s2.io.s3_delayed_load_error 1058c837faaaSWilliam Wang 1059a19ae480SWilliam Wang // fast load to load forward 1060a19ae480SWilliam Wang io.fastpathOut.valid := RegNext(load_s2.io.out.valid) // for debug only 1061a19ae480SWilliam Wang io.fastpathOut.data := s3_loadDataFromDcache.mergedData() // fastpath is for ld only 1062a19ae480SWilliam Wang 106367cddb05SWilliam Wang // feedback tlb miss / dcache miss queue full 106467cddb05SWilliam Wang io.feedbackSlow.bits := RegNext(load_s2.io.rsFeedback.bits) 106567cddb05SWilliam Wang io.feedbackSlow.valid := RegNext(load_s2.io.rsFeedback.valid && !load_s2.io.out.bits.uop.robIdx.needFlush(io.redirect)) 106667cddb05SWilliam Wang // If replay is reported at load_s1, inst will be canceled (will not enter load_s2), 106767cddb05SWilliam Wang // in that case: 106867cddb05SWilliam Wang // * replay should not be reported twice 106967cddb05SWilliam Wang assert(!(RegNext(io.feedbackFast.valid) && io.feedbackSlow.valid)) 107067cddb05SWilliam Wang // * io.fastUop.valid should not be reported 1071a760aeb0Shappy-lx assert(!RegNext(io.feedbackFast.valid && !io.feedbackFast.bits.hit && io.fastUop.valid)) 107267cddb05SWilliam Wang 10734b7b4cc9SWilliam Wang // load forward_fail/ldld_violation check 10744b7b4cc9SWilliam Wang // check for inst in load pipeline 107567cddb05SWilliam Wang val s3_forward_fail = RegNext(io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid) 107667cddb05SWilliam Wang val s3_ldld_violation = RegNext( 107767cddb05SWilliam Wang io.lsq.loadViolationQuery.resp.valid && 107867cddb05SWilliam Wang io.lsq.loadViolationQuery.resp.bits.have_violation && 107967cddb05SWilliam Wang RegNext(io.csrCtrl.ldld_vio_check_enable) 108067cddb05SWilliam Wang ) 108167cddb05SWilliam Wang val s3_need_replay_from_fetch = s3_forward_fail || s3_ldld_violation 10827ad02651SWilliam Wang val s3_can_replay_from_fetch = RegEnable(load_s2.io.s2_can_replay_from_fetch, load_s2.io.out.valid) 10834b7b4cc9SWilliam Wang // 1) use load pipe check result generated in load_s3 iff load_hit 10844b7b4cc9SWilliam Wang when (RegNext(hitLoadOut.valid)) { 108567cddb05SWilliam Wang io.ldout.bits.uop.ctrl.replayInst := s3_need_replay_from_fetch 108667cddb05SWilliam Wang } 10874b7b4cc9SWilliam Wang // 2) otherwise, write check result to load queue 108867cddb05SWilliam Wang io.lsq.s3_replay_from_fetch := s3_need_replay_from_fetch && s3_can_replay_from_fetch 108967cddb05SWilliam Wang 1090353424a7SWilliam Wang // s3_delayed_load_error path is not used for now, as we writeback load result in load_s3 1091353424a7SWilliam Wang // but we keep this path for future use 1092353424a7SWilliam Wang io.s3_delayed_load_error := false.B 10934b7b4cc9SWilliam Wang io.lsq.s3_delayed_load_error := false.B //load_s2.io.s3_delayed_load_error 10946786cfb7SWilliam Wang 1095ef638ab2SWilliam Wang io.lsq.ldout.ready := !hitLoadOut.valid 1096024ee227SWilliam Wang 10976b6d88e6SWilliam Wang when(io.feedbackSlow.valid && !io.feedbackSlow.bits.hit){ 109809203307SWilliam Wang // when need replay from rs, inst should not be writebacked to rob 10996b6d88e6SWilliam Wang assert(RegNext(!hitLoadOut.valid)) 110067cddb05SWilliam Wang assert(RegNext(!io.lsq.loadIn.valid) || RegNext(load_s2.io.s2_dcache_require_replay)) 11016b6d88e6SWilliam Wang } 11026b6d88e6SWilliam Wang 1103b52348aeSWilliam Wang // hareware prefetch to l1 1104b52348aeSWilliam Wang io.prefetch_req <> load_s0.io.prefetch_in 1105b52348aeSWilliam Wang 1106b52348aeSWilliam Wang // trigger 1107c3b763d0SYinan Xu val lastValidData = RegEnable(io.ldout.bits.data, io.ldout.fire) 1108b978565cSWilliam Wang val hitLoadAddrTriggerHitVec = Wire(Vec(3, Bool())) 1109b978565cSWilliam Wang val lqLoadAddrTriggerHitVec = io.lsq.trigger.lqLoadAddrTriggerHitVec 1110b978565cSWilliam Wang (0 until 3).map{i => { 1111b978565cSWilliam Wang val tdata2 = io.trigger(i).tdata2 1112b978565cSWilliam Wang val matchType = io.trigger(i).matchType 1113b978565cSWilliam Wang val tEnable = io.trigger(i).tEnable 11140277f8caSLi Qianruo 1115fd9fd860SWilliam Wang hitLoadAddrTriggerHitVec(i) := TriggerCmp(load_s2.io.out.bits.vaddr, tdata2, matchType, tEnable) 1116b978565cSWilliam Wang io.trigger(i).addrHit := Mux(hitLoadOut.valid, hitLoadAddrTriggerHitVec(i), lqLoadAddrTriggerHitVec(i)) 1117b978565cSWilliam Wang io.trigger(i).lastDataHit := TriggerCmp(lastValidData, tdata2, matchType, tEnable) 1118b978565cSWilliam Wang }} 1119b978565cSWilliam Wang io.lsq.trigger.hitLoadAddrTriggerHitVec := hitLoadAddrTriggerHitVec 1120b978565cSWilliam Wang 1121b52348aeSWilliam Wang // hardware performance counter 1122cd365d4cSrvcoresjw val perfEvents = Seq( 1123c3b763d0SYinan Xu ("load_s0_in_fire ", load_s0.io.in.fire ), 1124c3b763d0SYinan Xu ("load_to_load_forward ", load_s1.io.out.valid && s1_tryPointerChasing && !cancelPointerChasing ), 1125cd365d4cSrvcoresjw ("stall_dcache ", load_s0.io.out.valid && load_s0.io.out.ready && !load_s0.io.dcacheReq.ready ), 1126cd365d4cSrvcoresjw ("load_s1_in_fire ", load_s1.io.in.fire ), 1127cd365d4cSrvcoresjw ("load_s1_tlb_miss ", load_s1.io.in.fire && load_s1.io.dtlbResp.bits.miss ), 1128cd365d4cSrvcoresjw ("load_s2_in_fire ", load_s2.io.in.fire ), 1129cd365d4cSrvcoresjw ("load_s2_dcache_miss ", load_s2.io.in.fire && load_s2.io.dcacheResp.bits.miss ), 1130cd365d4cSrvcoresjw ("load_s2_replay ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit ), 1131cd365d4cSrvcoresjw ("load_s2_replay_tlb_miss ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && load_s2.io.in.bits.tlbMiss ), 1132cd365d4cSrvcoresjw ("load_s2_replay_cache ", load_s2.io.rsFeedback.valid && !load_s2.io.rsFeedback.bits.hit && !load_s2.io.in.bits.tlbMiss && load_s2.io.dcacheResp.bits.miss), 1133cd365d4cSrvcoresjw ) 11341ca0e4f3SYinan Xu generatePerfEvent() 1135cd365d4cSrvcoresjw 1136c3b763d0SYinan Xu when(io.ldout.fire){ 1137c5c06e78SWilliam Wang XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc) 1138c5c06e78SWilliam Wang } 1139024ee227SWilliam Wang} 1140