xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/LoadUnit.scala (revision d30bf7ff55882b45893f76b438e634faf7b0c762)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17024ee227SWilliam Wangpackage xiangshan.mem
18024ee227SWilliam Wang
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
20024ee227SWilliam Wangimport chisel3._
21024ee227SWilliam Wangimport chisel3.util._
22024ee227SWilliam Wangimport utils._
233c02ee8fSwakafaimport utility._
246ab6918fSYinan Xuimport xiangshan.ExceptionNO._
25024ee227SWilliam Wangimport xiangshan._
26870f462dSXuan Huimport xiangshan.backend.Bundles.{DynInst, MemExuInput, MemExuOutput}
27b6982e83SLemoverimport xiangshan.backend.fu.PMPRespBundle
28870f462dSXuan Huimport xiangshan.backend.fu.FuConfig._
29870f462dSXuan Huimport xiangshan.backend.ctrlblock.{DebugLsInfoBundle, LsTopdownInfo}
30870f462dSXuan Huimport xiangshan.backend.rob.RobPtr
31f7af4c74Schengguanghuiimport xiangshan.backend.ctrlblock.DebugLsInfoBundle
32f7af4c74Schengguanghuiimport xiangshan.backend.fu.util.SdtrigExt
33f7af4c74Schengguanghui
341279060fSWilliam Wangimport xiangshan.cache._
3504665835SMaxpicca-Liimport xiangshan.cache.wpu.ReplayCarry
36185e6164SHaoyuan Fengimport xiangshan.cache.mmu._
37e4f69d78Ssfencevmaimport xiangshan.mem.mdp._
38024ee227SWilliam Wang
39185e6164SHaoyuan Fengclass LoadToLsqReplayIO(implicit p: Parameters) extends XSBundle
40185e6164SHaoyuan Feng  with HasDCacheParameters
41185e6164SHaoyuan Feng  with HasTlbConst
42185e6164SHaoyuan Feng{
43e4f69d78Ssfencevma  // mshr refill index
4414a67055Ssfencevma  val mshr_id         = UInt(log2Up(cfg.nMissEntries).W)
45e4f69d78Ssfencevma  // get full data from store queue and sbuffer
4614a67055Ssfencevma  val full_fwd        = Bool()
47e4f69d78Ssfencevma  // wait for data from store inst's store queue index
4814a67055Ssfencevma  val data_inv_sq_idx = new SqPtr
49e4f69d78Ssfencevma  // wait for address from store queue index
5014a67055Ssfencevma  val addr_inv_sq_idx = new SqPtr
51e4f69d78Ssfencevma  // replay carry
5204665835SMaxpicca-Li  val rep_carry       = new ReplayCarry(nWays)
53e4f69d78Ssfencevma  // data in last beat
5414a67055Ssfencevma  val last_beat       = Bool()
55e4f69d78Ssfencevma  // replay cause
56e4f69d78Ssfencevma  val cause           = Vec(LoadReplayCauses.allCauses, Bool())
57e4f69d78Ssfencevma  // performance debug information
58e4f69d78Ssfencevma  val debug           = new PerfDebugInfo
59185e6164SHaoyuan Feng  // tlb hint
60185e6164SHaoyuan Feng  val tlb_id          = UInt(log2Up(loadfiltersize).W)
61185e6164SHaoyuan Feng  val tlb_full        = Bool()
628744445eSMaxpicca-Li
6314a67055Ssfencevma  // alias
6414a67055Ssfencevma  def mem_amb       = cause(LoadReplayCauses.C_MA)
65e50f3145Ssfencevma  def tlb_miss      = cause(LoadReplayCauses.C_TM)
6614a67055Ssfencevma  def fwd_fail      = cause(LoadReplayCauses.C_FF)
6714a67055Ssfencevma  def dcache_rep    = cause(LoadReplayCauses.C_DR)
68e50f3145Ssfencevma  def dcache_miss   = cause(LoadReplayCauses.C_DM)
69e50f3145Ssfencevma  def wpu_fail      = cause(LoadReplayCauses.C_WF)
70e50f3145Ssfencevma  def bank_conflict = cause(LoadReplayCauses.C_BC)
7114a67055Ssfencevma  def rar_nack      = cause(LoadReplayCauses.C_RAR)
7214a67055Ssfencevma  def raw_nack      = cause(LoadReplayCauses.C_RAW)
73e50f3145Ssfencevma  def nuke          = cause(LoadReplayCauses.C_NK)
7414a67055Ssfencevma  def need_rep      = cause.asUInt.orR
75a760aeb0Shappy-lx}
76a760aeb0Shappy-lx
77a760aeb0Shappy-lx
782225d46eSJiawei Linclass LoadToLsqIO(implicit p: Parameters) extends XSBundle {
7914a67055Ssfencevma  val ldin            = DecoupledIO(new LqWriteBundle)
80870f462dSXuan Hu  val uncache         = Flipped(DecoupledIO(new MemExuOutput))
8114a67055Ssfencevma  val ld_raw_data     = Input(new LoadDataFromLQBundle)
821b7adedcSWilliam Wang  val forward         = new PipeLoadForwardQueryIO
8314a67055Ssfencevma  val stld_nuke_query = new LoadNukeQueryIO
8414a67055Ssfencevma  val ldld_nuke_query = new LoadNukeQueryIO
85b978565cSWilliam Wang  val trigger         = Flipped(new LqTriggerIO)
86024ee227SWilliam Wang}
87024ee227SWilliam Wang
88e3f759aeSWilliam Wangclass LoadToLoadIO(implicit p: Parameters) extends XSBundle {
89e3f759aeSWilliam Wang  val valid      = Bool()
9014a67055Ssfencevma  val data       = UInt(XLEN.W) // load to load fast path is limited to ld (64 bit) used as vaddr src1 only
9114a67055Ssfencevma  val dly_ld_err = Bool()
92e3f759aeSWilliam Wang}
93e3f759aeSWilliam Wang
94b978565cSWilliam Wangclass LoadUnitTriggerIO(implicit p: Parameters) extends XSBundle {
95b978565cSWilliam Wang  val tdata2      = Input(UInt(64.W))
96b978565cSWilliam Wang  val matchType   = Input(UInt(2.W))
9784e47f35SLi Qianruo  val tEnable     = Input(Bool()) // timing is calculated before this
98b978565cSWilliam Wang  val addrHit     = Output(Bool())
99b978565cSWilliam Wang}
100b978565cSWilliam Wang
10109203307SWilliam Wangclass LoadUnit(implicit p: Parameters) extends XSModule
10209203307SWilliam Wang  with HasLoadHelper
10309203307SWilliam Wang  with HasPerfEvents
10409203307SWilliam Wang  with HasDCacheParameters
105e4f69d78Ssfencevma  with HasCircularQueuePtrHelper
10620a5248fSzhanglinjuan  with HasVLSUParameters
107f7af4c74Schengguanghui  with SdtrigExt
10809203307SWilliam Wang{
109024ee227SWilliam Wang  val io = IO(new Bundle() {
11014a67055Ssfencevma    // control
111024ee227SWilliam Wang    val redirect      = Flipped(ValidIO(new Redirect))
11214a67055Ssfencevma    val csrCtrl       = Flipped(new CustomCSRCtrlIO)
11314a67055Ssfencevma
11414a67055Ssfencevma    // int issue path
115870f462dSXuan Hu    val ldin          = Flipped(Decoupled(new MemExuInput))
116870f462dSXuan Hu    val ldout         = Decoupled(new MemExuOutput)
11714a67055Ssfencevma
11820a5248fSzhanglinjuan    // vec issue path
1193952421bSweiding liu    val vecldin = Flipped(Decoupled(new VecPipeBundle))
120b7618691Sweiding liu    val vecldout = Decoupled(new VecPipelineFeedbackIO(isVStore = false))
12120a5248fSzhanglinjuan
12214a67055Ssfencevma    // data path
12314a67055Ssfencevma    val tlb           = new TlbRequestIO(2)
12414a67055Ssfencevma    val pmp           = Flipped(new PMPRespBundle()) // arrive same to tlb now
1251279060fSWilliam Wang    val dcache        = new DCacheLoadIO
126024ee227SWilliam Wang    val sbuffer       = new LoadForwardQueryIO
1270bd67ba5SYinan Xu    val lsq           = new LoadToLsqIO
12814a67055Ssfencevma    val tl_d_channel  = Input(new DcacheToLduForwardIO)
129683c1411Shappy-lx    val forward_mshr  = Flipped(new LduToMissqueueForwardIO)
13009203307SWilliam Wang    val refill        = Flipped(ValidIO(new Refill))
13114a67055Ssfencevma    val l2_hint       = Input(Valid(new L2ToL1Hint))
132185e6164SHaoyuan Feng    val tlb_hint      = Flipped(new TlbHintReq)
13314a67055Ssfencevma    // fast wakeup
13420a5248fSzhanglinjuan    // TODO: implement vector fast wakeup
135870f462dSXuan Hu    val fast_uop = ValidIO(new DynInst) // early wakeup signal generated in load_s1, send to RS in load_s2
13614a67055Ssfencevma
13714a67055Ssfencevma    // trigger
138f7af4c74Schengguanghui    val trigger = Vec(TriggerNum, new LoadUnitTriggerIO)
139f7af4c74Schengguanghui
14014a67055Ssfencevma    // prefetch
1410d32f713Shappy-lx    val prefetch_train            = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to sms
1420d32f713Shappy-lx    val prefetch_train_l1         = ValidIO(new LdPrefetchTrainBundle()) // provide prefetch info to stream & stride
14314a67055Ssfencevma    val prefetch_req              = Flipped(ValidIO(new L1PrefetchReq)) // hardware prefetch to l1 cache req
1440d32f713Shappy-lx    val canAcceptLowConfPrefetch  = Output(Bool())
1450d32f713Shappy-lx    val canAcceptHighConfPrefetch = Output(Bool())
146b52348aeSWilliam Wang
147b52348aeSWilliam Wang    // load to load fast path
14814a67055Ssfencevma    val l2l_fwd_in    = Input(new LoadToLoadIO)
14914a67055Ssfencevma    val l2l_fwd_out   = Output(new LoadToLoadIO)
150c163075eSsfencevma
15114a67055Ssfencevma    val ld_fast_match    = Input(Bool())
152c163075eSsfencevma    val ld_fast_fuOpType = Input(UInt())
15314a67055Ssfencevma    val ld_fast_imm      = Input(UInt(12.W))
15467682d05SWilliam Wang
155e4f69d78Ssfencevma    // rs feedback
156596af5d2SHaojin Tang    val wakeup = ValidIO(new DynInst)
15714a67055Ssfencevma    val feedback_fast = ValidIO(new RSFeedback) // stage 2
15814a67055Ssfencevma    val feedback_slow = ValidIO(new RSFeedback) // stage 3
1592326221cSXuan Hu    val ldCancel = Output(new LoadCancelIO()) // use to cancel the uops waked by this load, and cancel load
160e4f69d78Ssfencevma
16114a67055Ssfencevma    // load ecc error
16214a67055Ssfencevma    val s3_dly_ld_err = Output(Bool()) // Note that io.s3_dly_ld_err and io.lsq.s3_dly_ld_err is different
1636786cfb7SWilliam Wang
16414a67055Ssfencevma    // schedule error query
16514a67055Ssfencevma    val stld_nuke_query = Flipped(Vec(StorePipelineWidth, Valid(new StoreNukeQueryIO)))
1660ce3de17SYinan Xu
16714a67055Ssfencevma    // queue-based replay
168e4f69d78Ssfencevma    val replay       = Flipped(Decoupled(new LsPipelineBundle))
16914a67055Ssfencevma    val lq_rep_full  = Input(Bool())
17014a67055Ssfencevma
17114a67055Ssfencevma    // misc
17214a67055Ssfencevma    val s2_ptr_chasing = Output(Bool()) // provide right pc for hw prefetch
173594c5198Ssfencevma
174594c5198Ssfencevma    // Load fast replay path
17514a67055Ssfencevma    val fast_rep_in  = Flipped(Decoupled(new LqWriteBundle))
17614a67055Ssfencevma    val fast_rep_out = Decoupled(new LqWriteBundle)
177b9e121dfShappy-lx
1783343d4a5Ssfencevma    // Load RAR rollback
1793343d4a5Ssfencevma    val rollback = Valid(new Redirect)
1803343d4a5Ssfencevma
18114a67055Ssfencevma    // perf
18214a67055Ssfencevma    val debug_ls         = Output(new DebugLsInfoBundle)
18314a67055Ssfencevma    val lsTopdownInfo    = Output(new LsTopdownInfo)
1840d32f713Shappy-lx    val correctMissTrain = Input(Bool())
185024ee227SWilliam Wang  })
186024ee227SWilliam Wang
18714a67055Ssfencevma  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
188024ee227SWilliam Wang
18914a67055Ssfencevma  // Pipeline
19014a67055Ssfencevma  // --------------------------------------------------------------------------------
19114a67055Ssfencevma  // stage 0
19214a67055Ssfencevma  // --------------------------------------------------------------------------------
19314a67055Ssfencevma  // generate addr, use addr to query DCache and DTLB
19414a67055Ssfencevma  val s0_valid         = Wire(Bool())
19563101478SHaojin Tang  val s0_mmio_select   = Wire(Bool())
19614a67055Ssfencevma  val s0_kill          = Wire(Bool())
19714a67055Ssfencevma  val s0_can_go        = s1_ready
19814a67055Ssfencevma  val s0_fire          = s0_valid && s0_can_go
19963101478SHaojin Tang  val s0_mmio_fire     = s0_mmio_select && s0_can_go
20014a67055Ssfencevma  val s0_out           = Wire(new LqWriteBundle)
201dcd58560SWilliam Wang
202cd2ff98bShappy-lx  // flow source bundle
203cd2ff98bShappy-lx  class FlowSource extends Bundle {
204cd2ff98bShappy-lx    val vaddr         = UInt(VAddrBits.W)
205cd2ff98bShappy-lx    val mask          = UInt((VLEN/8).W)
2068241cb85SXuan Hu    val uop           = new DynInst
207cd2ff98bShappy-lx    val try_l2l       = Bool()
208cd2ff98bShappy-lx    val has_rob_entry = Bool()
20971489510SXuan Hu    val rsIdx         = UInt(log2Up(MemIQSizeMax).W)
210cd2ff98bShappy-lx    val rep_carry     = new ReplayCarry(nWays)
211cd2ff98bShappy-lx    val mshrid        = UInt(log2Up(cfg.nMissEntries).W)
212cd2ff98bShappy-lx    val isFirstIssue  = Bool()
213cd2ff98bShappy-lx    val fast_rep      = Bool()
214cd2ff98bShappy-lx    val ld_rep        = Bool()
215cd2ff98bShappy-lx    val l2l_fwd       = Bool()
216cd2ff98bShappy-lx    val prf           = Bool()
217cd2ff98bShappy-lx    val prf_rd        = Bool()
218cd2ff98bShappy-lx    val prf_wr        = Bool()
219cd2ff98bShappy-lx    val sched_idx     = UInt(log2Up(LoadQueueReplaySize+1).W)
22071489510SXuan Hu    // Record the issue port idx of load issue queue. This signal is used by load cancel.
22171489510SXuan Hu    val deqPortIdx    = UInt(log2Ceil(LoadPipelineWidth).W)
22271489510SXuan Hu    // vec only
22371489510SXuan Hu    val isvec         = Bool()
22471489510SXuan Hu    val is128bit      = Bool()
22571489510SXuan Hu    val uop_unit_stride_fof = Bool()
22671489510SXuan Hu    val reg_offset    = UInt(vOffsetBits.W)
227e20747afSXuan Hu    val vecActive     = Bool() // 1: vector active element or scala mem operation, 0: vector not active element
22871489510SXuan Hu    val is_first_ele  = Bool()
2293952421bSweiding liu    // val flowPtr       = new VlflowPtr
23026af847eSgood-circle    val usSecondInv   = Bool()
231b7618691Sweiding liu    val mbIndex       = UInt(vlmBindexBits.W)
2325281d28fSweiding liu    val elemIdx       = UInt(elemIdxBits.W)
2335281d28fSweiding liu    val alignedType   = UInt(alignTypeBits.W)
234cd2ff98bShappy-lx  }
235cd2ff98bShappy-lx  val s0_sel_src = Wire(new FlowSource)
236cd2ff98bShappy-lx
23714a67055Ssfencevma  // load flow select/gen
23876e71c02Shappy-lx  // src0: super load replayed by LSQ (cache miss replay) (io.replay)
23976e71c02Shappy-lx  // src1: fast load replay (io.fast_rep_in)
24063101478SHaojin Tang  // src2: mmio (io.lsq.uncache)
24163101478SHaojin Tang  // src3: load replayed by LSQ (io.replay)
24263101478SHaojin Tang  // src4: hardware prefetch from prefetchor (high confidence) (io.prefetch)
24326af847eSgood-circle  // NOTE: Now vec/int loads are sent from same RS
24426af847eSgood-circle  //       A vec load will be splited into multiple uops,
24526af847eSgood-circle  //       so as long as one uop is issued,
24626af847eSgood-circle  //       the other uops should have higher priority
24726af847eSgood-circle  // src5: vec read from RS (io.vecldin)
24826af847eSgood-circle  // src6: int read / software prefetch first issue from RS (io.in)
24963101478SHaojin Tang  // src7: load try pointchaising when no issued or replayed load (io.fastpath)
25063101478SHaojin Tang  // src8: hardware prefetch from prefetchor (high confidence) (io.prefetch)
25114a67055Ssfencevma  // priority: high to low
25214a67055Ssfencevma  val s0_rep_stall           = io.ldin.valid && isAfter(io.replay.bits.uop.robIdx, io.ldin.bits.uop.robIdx)
25376e71c02Shappy-lx  val s0_super_ld_rep_valid  = io.replay.valid && io.replay.bits.forward_tlDchannel
25414a67055Ssfencevma  val s0_ld_fast_rep_valid   = io.fast_rep_in.valid
25563101478SHaojin Tang  val s0_ld_mmio_valid       = io.lsq.uncache.valid
25676e71c02Shappy-lx  val s0_ld_rep_valid        = io.replay.valid && !io.replay.bits.forward_tlDchannel && !s0_rep_stall
25714a67055Ssfencevma  val s0_high_conf_prf_valid = io.prefetch_req.valid && io.prefetch_req.bits.confidence > 0.U
25820a5248fSzhanglinjuan  val s0_vec_iss_valid       = io.vecldin.valid
25926af847eSgood-circle  val s0_int_iss_valid       = io.ldin.valid // int flow first issue or software prefetch
260cd2ff98bShappy-lx  val s0_l2l_fwd_valid       = io.l2l_fwd_in.valid
26114a67055Ssfencevma  val s0_low_conf_prf_valid  = io.prefetch_req.valid && io.prefetch_req.bits.confidence === 0.U
26276e71c02Shappy-lx  dontTouch(s0_super_ld_rep_valid)
26314a67055Ssfencevma  dontTouch(s0_ld_fast_rep_valid)
26463101478SHaojin Tang  dontTouch(s0_ld_mmio_valid)
26514a67055Ssfencevma  dontTouch(s0_ld_rep_valid)
26614a67055Ssfencevma  dontTouch(s0_high_conf_prf_valid)
26714a67055Ssfencevma  dontTouch(s0_vec_iss_valid)
26826af847eSgood-circle  dontTouch(s0_int_iss_valid)
26914a67055Ssfencevma  dontTouch(s0_l2l_fwd_valid)
27014a67055Ssfencevma  dontTouch(s0_low_conf_prf_valid)
271024ee227SWilliam Wang
27214a67055Ssfencevma  // load flow source ready
27376e71c02Shappy-lx  val s0_super_ld_rep_ready  = WireInit(true.B)
27476e71c02Shappy-lx  val s0_ld_fast_rep_ready   = !s0_super_ld_rep_valid
27563101478SHaojin Tang  val s0_ld_mmio_ready       = !s0_super_ld_rep_valid &&
27676e71c02Shappy-lx                               !s0_ld_fast_rep_valid
27763101478SHaojin Tang  val s0_ld_rep_ready        = !s0_super_ld_rep_valid &&
27863101478SHaojin Tang                               !s0_ld_fast_rep_valid &&
27963101478SHaojin Tang                               !s0_ld_mmio_valid
28076e71c02Shappy-lx  val s0_high_conf_prf_ready = !s0_super_ld_rep_valid &&
28176e71c02Shappy-lx                               !s0_ld_fast_rep_valid &&
28263101478SHaojin Tang                               !s0_ld_mmio_valid &&
28314a67055Ssfencevma                               !s0_ld_rep_valid
284024ee227SWilliam Wang
28526af847eSgood-circle  val s0_vec_iss_ready       = !s0_super_ld_rep_valid &&
28676e71c02Shappy-lx                               !s0_ld_fast_rep_valid &&
28763101478SHaojin Tang                               !s0_ld_mmio_valid &&
28814a67055Ssfencevma                               !s0_ld_rep_valid &&
28914a67055Ssfencevma                               !s0_high_conf_prf_valid
290a760aeb0Shappy-lx
29126af847eSgood-circle  val s0_int_iss_ready       = !s0_super_ld_rep_valid &&
29276e71c02Shappy-lx                               !s0_ld_fast_rep_valid &&
29363101478SHaojin Tang                               !s0_ld_mmio_valid &&
29414a67055Ssfencevma                               !s0_ld_rep_valid &&
29514a67055Ssfencevma                               !s0_high_conf_prf_valid &&
29626af847eSgood-circle                               !s0_vec_iss_valid
29714a67055Ssfencevma
29876e71c02Shappy-lx  val s0_l2l_fwd_ready       = !s0_super_ld_rep_valid &&
29976e71c02Shappy-lx                               !s0_ld_fast_rep_valid &&
30063101478SHaojin Tang                               !s0_ld_mmio_valid &&
30114a67055Ssfencevma                               !s0_ld_rep_valid &&
30214a67055Ssfencevma                               !s0_high_conf_prf_valid &&
30314a67055Ssfencevma                               !s0_int_iss_valid &&
30414a67055Ssfencevma                               !s0_vec_iss_valid
30514a67055Ssfencevma
30676e71c02Shappy-lx  val s0_low_conf_prf_ready  = !s0_super_ld_rep_valid &&
30776e71c02Shappy-lx                               !s0_ld_fast_rep_valid &&
30863101478SHaojin Tang                               !s0_ld_mmio_valid &&
30914a67055Ssfencevma                               !s0_ld_rep_valid &&
31014a67055Ssfencevma                               !s0_high_conf_prf_valid &&
31114a67055Ssfencevma                               !s0_int_iss_valid &&
31214a67055Ssfencevma                               !s0_vec_iss_valid &&
31314a67055Ssfencevma                               !s0_l2l_fwd_valid
31476e71c02Shappy-lx  dontTouch(s0_super_ld_rep_ready)
31514a67055Ssfencevma  dontTouch(s0_ld_fast_rep_ready)
31663101478SHaojin Tang  dontTouch(s0_ld_mmio_ready)
31714a67055Ssfencevma  dontTouch(s0_ld_rep_ready)
31814a67055Ssfencevma  dontTouch(s0_high_conf_prf_ready)
31914a67055Ssfencevma  dontTouch(s0_vec_iss_ready)
32026af847eSgood-circle  dontTouch(s0_int_iss_ready)
32114a67055Ssfencevma  dontTouch(s0_l2l_fwd_ready)
32214a67055Ssfencevma  dontTouch(s0_low_conf_prf_ready)
32314a67055Ssfencevma
32414a67055Ssfencevma  // load flow source select (OH)
32576e71c02Shappy-lx  val s0_super_ld_rep_select = s0_super_ld_rep_valid && s0_super_ld_rep_ready
32614a67055Ssfencevma  val s0_ld_fast_rep_select  = s0_ld_fast_rep_valid && s0_ld_fast_rep_ready
32763101478SHaojin Tang  val s0_ld_mmio_select      = s0_ld_mmio_valid && s0_ld_mmio_ready
32814a67055Ssfencevma  val s0_ld_rep_select       = s0_ld_rep_valid && s0_ld_rep_ready
32914a67055Ssfencevma  val s0_hw_prf_select       = s0_high_conf_prf_ready && s0_high_conf_prf_valid ||
33014a67055Ssfencevma                               s0_low_conf_prf_ready && s0_low_conf_prf_valid
33114a67055Ssfencevma  val s0_vec_iss_select      = s0_vec_iss_ready && s0_vec_iss_valid
33226af847eSgood-circle  val s0_int_iss_select      = s0_int_iss_ready && s0_int_iss_valid
33314a67055Ssfencevma  val s0_l2l_fwd_select      = s0_l2l_fwd_ready && s0_l2l_fwd_valid
33476e71c02Shappy-lx  dontTouch(s0_super_ld_rep_select)
33514a67055Ssfencevma  dontTouch(s0_ld_fast_rep_select)
33663101478SHaojin Tang  dontTouch(s0_ld_mmio_select)
33714a67055Ssfencevma  dontTouch(s0_ld_rep_select)
33814a67055Ssfencevma  dontTouch(s0_hw_prf_select)
33914a67055Ssfencevma  dontTouch(s0_vec_iss_select)
34026af847eSgood-circle  dontTouch(s0_int_iss_select)
34114a67055Ssfencevma  dontTouch(s0_l2l_fwd_select)
34214a67055Ssfencevma
34376e71c02Shappy-lx  s0_valid := (s0_super_ld_rep_valid ||
34476e71c02Shappy-lx               s0_ld_fast_rep_valid ||
34514a67055Ssfencevma               s0_ld_rep_valid ||
34614a67055Ssfencevma               s0_high_conf_prf_valid ||
34714a67055Ssfencevma               s0_vec_iss_valid ||
34826af847eSgood-circle               s0_int_iss_valid ||
34914a67055Ssfencevma               s0_l2l_fwd_valid ||
35063101478SHaojin Tang               s0_low_conf_prf_valid) && !s0_ld_mmio_select && io.dcache.req.ready && !s0_kill
35163101478SHaojin Tang
35263101478SHaojin Tang  s0_mmio_select := s0_ld_mmio_select && !s0_kill
35314a67055Ssfencevma
354a760aeb0Shappy-lx  // which is S0's out is ready and dcache is ready
35514a67055Ssfencevma  val s0_try_ptr_chasing      = s0_l2l_fwd_select
35614a67055Ssfencevma  val s0_do_try_ptr_chasing   = s0_try_ptr_chasing && s0_can_go && io.dcache.req.ready
35714a67055Ssfencevma  val s0_ptr_chasing_vaddr    = io.l2l_fwd_in.data(5, 0) +& io.ld_fast_imm(5, 0)
35814a67055Ssfencevma  val s0_ptr_chasing_canceled = WireInit(false.B)
359cd2ff98bShappy-lx  s0_kill := s0_ptr_chasing_canceled
36014a67055Ssfencevma
36114a67055Ssfencevma  // prefetch related ctrl signal
3620d32f713Shappy-lx  io.canAcceptLowConfPrefetch  := s0_low_conf_prf_ready
3630d32f713Shappy-lx  io.canAcceptHighConfPrefetch := s0_high_conf_prf_ready
3640d32f713Shappy-lx
36514a67055Ssfencevma  // query DTLB
36614a67055Ssfencevma  io.tlb.req.valid                   := s0_valid
367cd2ff98bShappy-lx  io.tlb.req.bits.cmd                := Mux(s0_sel_src.prf,
368cd2ff98bShappy-lx                                         Mux(s0_sel_src.prf_wr, TlbCmd.write, TlbCmd.read),
36914a67055Ssfencevma                                         TlbCmd.read
37014a67055Ssfencevma                                       )
371cd2ff98bShappy-lx  io.tlb.req.bits.vaddr              := Mux(s0_hw_prf_select, io.prefetch_req.bits.paddr, s0_sel_src.vaddr)
372b3f349ecSgood-circle  io.tlb.req.bits.size               := Mux(s0_sel_src.isvec, s0_sel_src.alignedType(2,0), LSUOpType.size(s0_sel_src.uop.fuOpType)) // FIXME : currently not use, 128 bit load will error if use it
37314a67055Ssfencevma  io.tlb.req.bits.kill               := s0_kill
37414a67055Ssfencevma  io.tlb.req.bits.memidx.is_ld       := true.B
37514a67055Ssfencevma  io.tlb.req.bits.memidx.is_st       := false.B
376cd2ff98bShappy-lx  io.tlb.req.bits.memidx.idx         := s0_sel_src.uop.lqIdx.value
377cd2ff98bShappy-lx  io.tlb.req.bits.debug.robIdx       := s0_sel_src.uop.robIdx
37814a67055Ssfencevma  io.tlb.req.bits.no_translate       := s0_hw_prf_select  // hw b.reqetch addr does not need to be translated
3798241cb85SXuan Hu  io.tlb.req.bits.debug.pc           := s0_sel_src.uop.pc
380cd2ff98bShappy-lx  io.tlb.req.bits.debug.isFirstIssue := s0_sel_src.isFirstIssue
38114a67055Ssfencevma
38214a67055Ssfencevma  // query DCache
38314a67055Ssfencevma  io.dcache.req.valid             := s0_valid
384cd2ff98bShappy-lx  io.dcache.req.bits.cmd          := Mux(s0_sel_src.prf_rd,
38514a67055Ssfencevma                                      MemoryOpConstants.M_PFR,
386cd2ff98bShappy-lx                                      Mux(s0_sel_src.prf_wr, MemoryOpConstants.M_PFW, MemoryOpConstants.M_XRD)
38714a67055Ssfencevma                                    )
388cd2ff98bShappy-lx  io.dcache.req.bits.vaddr        := s0_sel_src.vaddr
389cd2ff98bShappy-lx  io.dcache.req.bits.mask         := s0_sel_src.mask
39014a67055Ssfencevma  io.dcache.req.bits.data         := DontCare
391cd2ff98bShappy-lx  io.dcache.req.bits.isFirstIssue := s0_sel_src.isFirstIssue
392cd2ff98bShappy-lx  io.dcache.req.bits.instrtype    := Mux(s0_sel_src.prf, DCACHE_PREFETCH_SOURCE.U, LOAD_SOURCE.U)
393cd2ff98bShappy-lx  io.dcache.req.bits.debug_robIdx := s0_sel_src.uop.robIdx.value
394cd2ff98bShappy-lx  io.dcache.req.bits.replayCarry  := s0_sel_src.rep_carry
39514a67055Ssfencevma  io.dcache.req.bits.id           := DontCare // TODO: update cache meta
3960d32f713Shappy-lx  io.dcache.pf_source             := Mux(s0_hw_prf_select, io.prefetch_req.bits.pf_source.value, L1_HW_PREFETCH_NULL)
397b3f349ecSgood-circle  io.dcache.is128Req              := s0_sel_src.is128bit
39814a67055Ssfencevma
39914a67055Ssfencevma  // load flow priority mux
400cd2ff98bShappy-lx  def fromNullSource(): FlowSource = {
401cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
402cd2ff98bShappy-lx    out
40314a67055Ssfencevma  }
40414a67055Ssfencevma
405cd2ff98bShappy-lx  def fromFastReplaySource(src: LqWriteBundle): FlowSource = {
406cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
407cd2ff98bShappy-lx    out.vaddr         := src.vaddr
408cd2ff98bShappy-lx    out.mask          := src.mask
409cd2ff98bShappy-lx    out.uop           := src.uop
410cd2ff98bShappy-lx    out.try_l2l       := false.B
411cd2ff98bShappy-lx    out.has_rob_entry := src.hasROBEntry
412cd2ff98bShappy-lx    out.rep_carry     := src.rep_info.rep_carry
413cd2ff98bShappy-lx    out.mshrid        := src.rep_info.mshr_id
414cd2ff98bShappy-lx    out.rsIdx         := src.rsIdx
415cd2ff98bShappy-lx    out.isFirstIssue  := false.B
416cd2ff98bShappy-lx    out.fast_rep      := true.B
417cd2ff98bShappy-lx    out.ld_rep        := src.isLoadReplay
418cd2ff98bShappy-lx    out.l2l_fwd       := false.B
419*d30bf7ffSweiding liu    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
4208241cb85SXuan Hu    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
4218241cb85SXuan Hu    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
422cd2ff98bShappy-lx    out.sched_idx     := src.schedIndex
423375ed6a9Sweiding liu    out.isvec         := src.isvec
424375ed6a9Sweiding liu    out.is128bit      := src.is128bit
425375ed6a9Sweiding liu    out.uop_unit_stride_fof := src.uop_unit_stride_fof
426375ed6a9Sweiding liu    out.reg_offset    := src.reg_offset
427375ed6a9Sweiding liu    out.vecActive     := src.vecActive
428375ed6a9Sweiding liu    out.is_first_ele  := src.is_first_ele
429375ed6a9Sweiding liu    out.usSecondInv   := src.usSecondInv
430375ed6a9Sweiding liu    out.mbIndex       := src.mbIndex
4315281d28fSweiding liu    out.elemIdx       := src.elemIdx
4325281d28fSweiding liu    out.alignedType   := src.alignedType
433cd2ff98bShappy-lx    out
43414a67055Ssfencevma  }
43514a67055Ssfencevma
436375ed6a9Sweiding liu  // TODO: implement vector mmio
43763101478SHaojin Tang  def fromMmioSource(src: MemExuOutput) = {
43863101478SHaojin Tang    val out = WireInit(0.U.asTypeOf(new FlowSource))
43963101478SHaojin Tang    out.vaddr        := 0.U
44063101478SHaojin Tang    out.mask          := 0.U
44163101478SHaojin Tang    out.uop           := src.uop
44263101478SHaojin Tang    out.try_l2l       := false.B
44363101478SHaojin Tang    out.has_rob_entry := false.B
44463101478SHaojin Tang    out.rsIdx         := 0.U
44563101478SHaojin Tang    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
44663101478SHaojin Tang    out.mshrid        := 0.U
44763101478SHaojin Tang    out.isFirstIssue  := false.B
44863101478SHaojin Tang    out.fast_rep      := false.B
44963101478SHaojin Tang    out.ld_rep        := false.B
45063101478SHaojin Tang    out.l2l_fwd       := false.B
45163101478SHaojin Tang    out.prf           := false.B
45263101478SHaojin Tang    out.prf_rd        := false.B
45363101478SHaojin Tang    out.prf_wr        := false.B
45463101478SHaojin Tang    out.sched_idx     := 0.U
45563101478SHaojin Tang    out.vecActive     := true.B
45663101478SHaojin Tang    out
45763101478SHaojin Tang  }
45863101478SHaojin Tang
459cd2ff98bShappy-lx  def fromNormalReplaySource(src: LsPipelineBundle): FlowSource = {
460cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
461cd2ff98bShappy-lx    out.vaddr         := src.vaddr
462375ed6a9Sweiding liu    out.mask          := Mux(src.isvec, src.mask, genVWmask(src.vaddr, src.uop.fuOpType(1, 0)))
463cd2ff98bShappy-lx    out.uop           := src.uop
464cd2ff98bShappy-lx    out.try_l2l       := false.B
465cd2ff98bShappy-lx    out.has_rob_entry := true.B
466cd2ff98bShappy-lx    out.rsIdx         := src.rsIdx
467cd2ff98bShappy-lx    out.rep_carry     := src.replayCarry
468cd2ff98bShappy-lx    out.mshrid        := src.mshrid
469cd2ff98bShappy-lx    out.isFirstIssue  := false.B
470cd2ff98bShappy-lx    out.fast_rep      := false.B
471cd2ff98bShappy-lx    out.ld_rep        := true.B
472cd2ff98bShappy-lx    out.l2l_fwd       := false.B
473*d30bf7ffSweiding liu    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType) && !src.isvec
4748241cb85SXuan Hu    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
4758241cb85SXuan Hu    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
476cd2ff98bShappy-lx    out.sched_idx     := src.schedIndex
477375ed6a9Sweiding liu    out.isvec         := src.isvec
478375ed6a9Sweiding liu    out.is128bit      := src.is128bit
479375ed6a9Sweiding liu    out.uop_unit_stride_fof := src.uop_unit_stride_fof
480375ed6a9Sweiding liu    out.reg_offset    := src.reg_offset
481375ed6a9Sweiding liu    out.vecActive     := src.vecActive
482375ed6a9Sweiding liu    out.is_first_ele  := src.is_first_ele
483375ed6a9Sweiding liu    out.usSecondInv   := src.usSecondInv
484375ed6a9Sweiding liu    out.mbIndex       := src.mbIndex
4855281d28fSweiding liu    out.elemIdx       := src.elemIdx
4865281d28fSweiding liu    out.alignedType   := src.alignedType
487cd2ff98bShappy-lx    out
48814a67055Ssfencevma  }
48914a67055Ssfencevma
490375ed6a9Sweiding liu  // TODO: implement vector prefetch
491cd2ff98bShappy-lx  def fromPrefetchSource(src: L1PrefetchReq): FlowSource = {
492cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
493cd2ff98bShappy-lx    out.vaddr         := src.getVaddr()
494cd2ff98bShappy-lx    out.mask          := 0.U
495cd2ff98bShappy-lx    out.uop           := DontCare
496cd2ff98bShappy-lx    out.try_l2l       := false.B
497cd2ff98bShappy-lx    out.has_rob_entry := false.B
498cd2ff98bShappy-lx    out.rsIdx         := 0.U
49963101478SHaojin Tang    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
500cd2ff98bShappy-lx    out.mshrid        := 0.U
501cd2ff98bShappy-lx    out.isFirstIssue  := false.B
502cd2ff98bShappy-lx    out.fast_rep      := false.B
503cd2ff98bShappy-lx    out.ld_rep        := false.B
504cd2ff98bShappy-lx    out.l2l_fwd       := false.B
505cd2ff98bShappy-lx    out.prf           := true.B
506cd2ff98bShappy-lx    out.prf_rd        := !src.is_store
507cd2ff98bShappy-lx    out.prf_wr        := src.is_store
508cd2ff98bShappy-lx    out.sched_idx     := 0.U
509cd2ff98bShappy-lx    out
51014a67055Ssfencevma  }
51114a67055Ssfencevma
5123952421bSweiding liu  def fromVecIssueSource(src: VecPipeBundle): FlowSource = {
513cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
5148241cb85SXuan Hu    out.vaddr         := src.vaddr
5158241cb85SXuan Hu    out.mask          := src.mask
5168241cb85SXuan Hu    out.uop           := src.uop
517cd2ff98bShappy-lx    out.try_l2l       := false.B
5188241cb85SXuan Hu    out.has_rob_entry := true.B
51920a5248fSzhanglinjuan    // TODO: VLSU, implement vector feedback
520cd2ff98bShappy-lx    out.rsIdx         := 0.U
52120a5248fSzhanglinjuan    // TODO: VLSU, implement replay carry
52263101478SHaojin Tang    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
523cd2ff98bShappy-lx    out.mshrid        := 0.U
52420a5248fSzhanglinjuan    // TODO: VLSU, implement first issue
52526af847eSgood-circle//    out.isFirstIssue  := src.isFirstIssue
526cd2ff98bShappy-lx    out.fast_rep      := false.B
527cd2ff98bShappy-lx    out.ld_rep        := false.B
528cd2ff98bShappy-lx    out.l2l_fwd       := false.B
529cd2ff98bShappy-lx    out.prf           := false.B
530cd2ff98bShappy-lx    out.prf_rd        := false.B
531cd2ff98bShappy-lx    out.prf_wr        := false.B
532cd2ff98bShappy-lx    out.sched_idx     := 0.U
53320a5248fSzhanglinjuan    // Vector load interface
5348241cb85SXuan Hu    out.isvec               := true.B
53520a5248fSzhanglinjuan    // vector loads only access a single element at a time, so 128-bit path is not used for now
53600e6f2e2Sweiding liu    out.is128bit            := is128Bit(src.alignedType)
5378241cb85SXuan Hu    out.uop_unit_stride_fof := src.uop_unit_stride_fof
5388241cb85SXuan Hu    // out.rob_idx_valid       := src.rob_idx_valid
5398241cb85SXuan Hu    // out.inner_idx           := src.inner_idx
5408241cb85SXuan Hu    // out.rob_idx             := src.rob_idx
5418241cb85SXuan Hu    out.reg_offset          := src.reg_offset
5428241cb85SXuan Hu    // out.offset              := src.offset
543e20747afSXuan Hu    out.vecActive           := src.vecActive
5448241cb85SXuan Hu    out.is_first_ele        := src.is_first_ele
5453952421bSweiding liu    // out.flowPtr             := src.flowPtr
54626af847eSgood-circle    out.usSecondInv         := src.usSecondInv
547b7618691Sweiding liu    out.mbIndex             := src.mBIndex
5485281d28fSweiding liu    out.elemIdx             := src.elemIdx
5495281d28fSweiding liu    out.alignedType         := src.alignedType
55026af847eSgood-circle    out
55126af847eSgood-circle  }
55226af847eSgood-circle
55326af847eSgood-circle  def fromIntIssueSource(src: MemExuInput): FlowSource = {
55426af847eSgood-circle    val out = WireInit(0.U.asTypeOf(new FlowSource))
55526af847eSgood-circle    out.vaddr         := src.src(0) + SignExt(src.uop.imm(11, 0), VAddrBits)
55626af847eSgood-circle    out.mask          := genVWmask(out.vaddr, src.uop.fuOpType(1,0))
55726af847eSgood-circle    out.uop           := src.uop
55826af847eSgood-circle    out.try_l2l       := false.B
55926af847eSgood-circle    out.has_rob_entry := true.B
56026af847eSgood-circle    out.rsIdx         := src.iqIdx
56126af847eSgood-circle    out.rep_carry     := 0.U.asTypeOf(out.rep_carry)
56226af847eSgood-circle    out.mshrid        := 0.U
56326af847eSgood-circle    out.isFirstIssue  := true.B
56426af847eSgood-circle    out.fast_rep      := false.B
56526af847eSgood-circle    out.ld_rep        := false.B
56626af847eSgood-circle    out.l2l_fwd       := false.B
56726af847eSgood-circle    out.prf           := LSUOpType.isPrefetch(src.uop.fuOpType)
56826af847eSgood-circle    out.prf_rd        := src.uop.fuOpType === LSUOpType.prefetch_r
56926af847eSgood-circle    out.prf_wr        := src.uop.fuOpType === LSUOpType.prefetch_w
57026af847eSgood-circle    out.sched_idx     := 0.U
57126af847eSgood-circle    out.vecActive     := true.B // true for scala load
57271489510SXuan Hu    out
57314a67055Ssfencevma  }
57414a67055Ssfencevma
575375ed6a9Sweiding liu  // TODO: implement vector l2l
576cd2ff98bShappy-lx  def fromLoadToLoadSource(src: LoadToLoadIO): FlowSource = {
577cd2ff98bShappy-lx    val out = WireInit(0.U.asTypeOf(new FlowSource))
578cd2ff98bShappy-lx    out.vaddr              := Cat(src.data(XLEN-1, 6), s0_ptr_chasing_vaddr(5,0))
579cd2ff98bShappy-lx    out.mask               := genVWmask(0.U, LSUOpType.ld)
58014a67055Ssfencevma    // When there's no valid instruction from RS and LSQ, we try the load-to-load forwarding.
58114a67055Ssfencevma    // Assume the pointer chasing is always ld.
5828241cb85SXuan Hu    out.uop.fuOpType       := LSUOpType.ld
583cd2ff98bShappy-lx    out.try_l2l            := true.B
584596af5d2SHaojin Tang    // we dont care out.isFirstIssue and out.rsIdx and s0_sqIdx in S0 when trying pointchasing
58514a67055Ssfencevma    // because these signals will be updated in S1
586cd2ff98bShappy-lx    out.has_rob_entry      := false.B
587cd2ff98bShappy-lx    out.rsIdx              := 0.U
588cd2ff98bShappy-lx    out.mshrid             := 0.U
58963101478SHaojin Tang    out.rep_carry          := 0.U.asTypeOf(out.rep_carry)
590cd2ff98bShappy-lx    out.isFirstIssue       := true.B
591cd2ff98bShappy-lx    out.fast_rep           := false.B
592cd2ff98bShappy-lx    out.ld_rep             := false.B
593cd2ff98bShappy-lx    out.l2l_fwd            := true.B
594cd2ff98bShappy-lx    out.prf                := false.B
595cd2ff98bShappy-lx    out.prf_rd             := false.B
596cd2ff98bShappy-lx    out.prf_wr             := false.B
597cd2ff98bShappy-lx    out.sched_idx          := 0.U
598cd2ff98bShappy-lx    out
59914a67055Ssfencevma  }
60014a67055Ssfencevma
60114a67055Ssfencevma  // set default
602cd2ff98bShappy-lx  val s0_src_selector = Seq(
603cd2ff98bShappy-lx    s0_super_ld_rep_select,
604cd2ff98bShappy-lx    s0_ld_fast_rep_select,
60563101478SHaojin Tang    s0_ld_mmio_select,
606cd2ff98bShappy-lx    s0_ld_rep_select,
607cd2ff98bShappy-lx    s0_hw_prf_select,
608cd2ff98bShappy-lx    s0_vec_iss_select,
60926af847eSgood-circle    s0_int_iss_select,
610cd2ff98bShappy-lx    (if (EnableLoadToLoadForward) s0_l2l_fwd_select else true.B)
611cd2ff98bShappy-lx  )
612cd2ff98bShappy-lx  val s0_src_format = Seq(
613cd2ff98bShappy-lx    fromNormalReplaySource(io.replay.bits),
614cd2ff98bShappy-lx    fromFastReplaySource(io.fast_rep_in.bits),
61563101478SHaojin Tang    fromMmioSource(io.lsq.uncache.bits),
616cd2ff98bShappy-lx    fromNormalReplaySource(io.replay.bits),
617cd2ff98bShappy-lx    fromPrefetchSource(io.prefetch_req.bits),
6188241cb85SXuan Hu    fromVecIssueSource(io.vecldin.bits),
61926af847eSgood-circle    fromIntIssueSource(io.ldin.bits),
620cd2ff98bShappy-lx    (if (EnableLoadToLoadForward) fromLoadToLoadSource(io.l2l_fwd_in) else fromNullSource())
621cd2ff98bShappy-lx  )
622cd2ff98bShappy-lx  s0_sel_src := ParallelPriorityMux(s0_src_selector, s0_src_format)
62314a67055Ssfencevma
62414a67055Ssfencevma  // address align check
625b3f349ecSgood-circle  val s0_addr_aligned = LookupTree(Mux(s0_sel_src.isvec, s0_sel_src.alignedType(1,0), s0_sel_src.uop.fuOpType(1, 0)), List(
62614a67055Ssfencevma    "b00".U   -> true.B,                   //b
627cd2ff98bShappy-lx    "b01".U   -> (s0_sel_src.vaddr(0)    === 0.U), //h
628cd2ff98bShappy-lx    "b10".U   -> (s0_sel_src.vaddr(1, 0) === 0.U), //w
629cd2ff98bShappy-lx    "b11".U   -> (s0_sel_src.vaddr(2, 0) === 0.U)  //d
63014a67055Ssfencevma  ))
631b3f349ecSgood-circle  XSError(s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) =/= 0.U && s0_sel_src.alignedType(2), "unit-stride 128 bit element is not aligned!")
63214a67055Ssfencevma
63314a67055Ssfencevma  // accept load flow if dcache ready (tlb is always ready)
63414a67055Ssfencevma  // TODO: prefetch need writeback to loadQueueFlag
63514a67055Ssfencevma  s0_out               := DontCare
636cd2ff98bShappy-lx  s0_out.rsIdx         := s0_sel_src.rsIdx
637cd2ff98bShappy-lx  s0_out.vaddr         := s0_sel_src.vaddr
638cd2ff98bShappy-lx  s0_out.mask          := s0_sel_src.mask
639cd2ff98bShappy-lx  s0_out.uop           := s0_sel_src.uop
640cd2ff98bShappy-lx  s0_out.isFirstIssue  := s0_sel_src.isFirstIssue
641cd2ff98bShappy-lx  s0_out.hasROBEntry   := s0_sel_src.has_rob_entry
642cd2ff98bShappy-lx  s0_out.isPrefetch    := s0_sel_src.prf
643cd2ff98bShappy-lx  s0_out.isHWPrefetch  := s0_hw_prf_select
644cd2ff98bShappy-lx  s0_out.isFastReplay  := s0_sel_src.fast_rep
645cd2ff98bShappy-lx  s0_out.isLoadReplay  := s0_sel_src.ld_rep
646cd2ff98bShappy-lx  s0_out.isFastPath    := s0_sel_src.l2l_fwd
647cd2ff98bShappy-lx  s0_out.mshrid        := s0_sel_src.mshrid
64871489510SXuan Hu  s0_out.isvec           := s0_sel_src.isvec
64971489510SXuan Hu  s0_out.is128bit        := s0_sel_src.is128bit
65071489510SXuan Hu  s0_out.uop_unit_stride_fof := s0_sel_src.uop_unit_stride_fof
65120a5248fSzhanglinjuan  // s0_out.rob_idx_valid   := s0_rob_idx_valid
65220a5248fSzhanglinjuan  // s0_out.inner_idx       := s0_inner_idx
65320a5248fSzhanglinjuan  // s0_out.rob_idx         := s0_rob_idx
65471489510SXuan Hu  s0_out.reg_offset      := s0_sel_src.reg_offset
65520a5248fSzhanglinjuan  // s0_out.offset          := s0_offset
656e20747afSXuan Hu  s0_out.vecActive             := s0_sel_src.vecActive
65726af847eSgood-circle  s0_out.usSecondInv    := s0_sel_src.usSecondInv
65871489510SXuan Hu  s0_out.is_first_ele   := s0_sel_src.is_first_ele
6595281d28fSweiding liu  s0_out.elemIdx        := s0_sel_src.elemIdx
6605281d28fSweiding liu  s0_out.alignedType    := s0_sel_src.alignedType
6615281d28fSweiding liu  s0_out.mbIndex        := s0_sel_src.mbIndex
6623952421bSweiding liu  // s0_out.flowPtr         := s0_sel_src.flowPtr
663e20747afSXuan Hu  s0_out.uop.exceptionVec(loadAddrMisaligned) := !s0_addr_aligned && s0_sel_src.vecActive
66476e71c02Shappy-lx  s0_out.forward_tlDchannel := s0_super_ld_rep_select
665cd2ff98bShappy-lx  when(io.tlb.req.valid && s0_sel_src.isFirstIssue) {
66614a67055Ssfencevma    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
66714a67055Ssfencevma  }.otherwise{
668cd2ff98bShappy-lx    s0_out.uop.debugInfo.tlbFirstReqTime := s0_sel_src.uop.debugInfo.tlbFirstReqTime
66914a67055Ssfencevma  }
670cd2ff98bShappy-lx  s0_out.schedIndex     := s0_sel_src.sched_idx
67114a67055Ssfencevma
67214a67055Ssfencevma  // load fast replay
67314a67055Ssfencevma  io.fast_rep_in.ready := (s0_can_go && io.dcache.req.ready && s0_ld_fast_rep_ready)
67414a67055Ssfencevma
67563101478SHaojin Tang  // mmio
67663101478SHaojin Tang  io.lsq.uncache.ready := s0_mmio_fire
67763101478SHaojin Tang
67814a67055Ssfencevma  // load flow source ready
67976e71c02Shappy-lx  // cache missed load has highest priority
68076e71c02Shappy-lx  // always accept cache missed load flow from load replay queue
68176e71c02Shappy-lx  io.replay.ready := (s0_can_go && io.dcache.req.ready && (s0_ld_rep_ready && !s0_rep_stall || s0_super_ld_rep_select))
68214a67055Ssfencevma
68314a67055Ssfencevma  // accept load flow from rs when:
68414a67055Ssfencevma  // 1) there is no lsq-replayed load
68576e71c02Shappy-lx  // 2) there is no fast replayed load
68676e71c02Shappy-lx  // 3) there is no high confidence prefetch request
68720a5248fSzhanglinjuan  io.vecldin.ready := s0_can_go && io.dcache.req.ready && s0_vec_iss_ready
68826af847eSgood-circle  io.ldin.ready := s0_can_go && io.dcache.req.ready && s0_int_iss_ready
68914a67055Ssfencevma
69014a67055Ssfencevma  // for hw prefetch load flow feedback, to be added later
69114a67055Ssfencevma  // io.prefetch_in.ready := s0_hw_prf_select
69214a67055Ssfencevma
69314a67055Ssfencevma  // dcache replacement extra info
69414a67055Ssfencevma  // TODO: should prefetch load update replacement?
695e50f3145Ssfencevma  io.dcache.replacementUpdated := Mux(s0_ld_rep_select || s0_super_ld_rep_select, io.replay.bits.replacementUpdated, false.B)
69614a67055Ssfencevma
697596af5d2SHaojin Tang  // load wakeup
69826af847eSgood-circle  // TODO: vector load wakeup?
69963101478SHaojin Tang  io.wakeup.valid := s0_fire && (s0_super_ld_rep_select || s0_ld_fast_rep_select || s0_ld_rep_select || s0_int_iss_select) || s0_mmio_fire
700596af5d2SHaojin Tang  io.wakeup.bits := s0_out.uop
701596af5d2SHaojin Tang
70214a67055Ssfencevma  XSDebug(io.dcache.req.fire,
7038241cb85SXuan Hu    p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_sel_src.uop.pc)}, vaddr ${Hexadecimal(s0_sel_src.vaddr)}\n"
70414a67055Ssfencevma  )
70514a67055Ssfencevma  XSDebug(s0_valid,
706870f462dSXuan Hu    p"S0: pc ${Hexadecimal(s0_out.uop.pc)}, lId ${Hexadecimal(s0_out.uop.lqIdx.asUInt)}, " +
70714a67055Ssfencevma    p"vaddr ${Hexadecimal(s0_out.vaddr)}, mask ${Hexadecimal(s0_out.mask)}\n")
70814a67055Ssfencevma
70914a67055Ssfencevma  // Pipeline
71014a67055Ssfencevma  // --------------------------------------------------------------------------------
71114a67055Ssfencevma  // stage 1
71214a67055Ssfencevma  // --------------------------------------------------------------------------------
71314a67055Ssfencevma  // TLB resp (send paddr to dcache)
71414a67055Ssfencevma  val s1_valid      = RegInit(false.B)
71514a67055Ssfencevma  val s1_in         = Wire(new LqWriteBundle)
71614a67055Ssfencevma  val s1_out        = Wire(new LqWriteBundle)
71714a67055Ssfencevma  val s1_kill       = Wire(Bool())
71814a67055Ssfencevma  val s1_can_go     = s2_ready
71914a67055Ssfencevma  val s1_fire       = s1_valid && !s1_kill && s1_can_go
720e20747afSXuan Hu  val s1_vecActive        = RegEnable(s0_out.vecActive, true.B, s0_fire)
72114a67055Ssfencevma
72214a67055Ssfencevma  s1_ready := !s1_valid || s1_kill || s2_ready
72314a67055Ssfencevma  when (s0_fire) { s1_valid := true.B }
72414a67055Ssfencevma  .elsewhen (s1_fire) { s1_valid := false.B }
72514a67055Ssfencevma  .elsewhen (s1_kill) { s1_valid := false.B }
72614a67055Ssfencevma  s1_in   := RegEnable(s0_out, s0_fire)
72714a67055Ssfencevma
728cd2ff98bShappy-lx  val s1_fast_rep_dly_kill = RegNext(io.fast_rep_in.bits.lateKill) && s1_in.isFastReplay
729cd2ff98bShappy-lx  val s1_fast_rep_dly_err =  RegNext(io.fast_rep_in.bits.delayedLoadError) && s1_in.isFastReplay
730cd2ff98bShappy-lx  val s1_l2l_fwd_dly_err  = RegNext(io.l2l_fwd_in.dly_ld_err) && s1_in.isFastPath
731cd2ff98bShappy-lx  val s1_dly_err          = s1_fast_rep_dly_err || s1_l2l_fwd_dly_err
73214a67055Ssfencevma  val s1_vaddr_hi         = Wire(UInt())
73314a67055Ssfencevma  val s1_vaddr_lo         = Wire(UInt())
73414a67055Ssfencevma  val s1_vaddr            = Wire(UInt())
73514a67055Ssfencevma  val s1_paddr_dup_lsu    = Wire(UInt())
73614a67055Ssfencevma  val s1_paddr_dup_dcache = Wire(UInt())
737870f462dSXuan Hu  val s1_exception        = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, LduCfg).asUInt.orR   // af & pf exception were modified below.
73814a67055Ssfencevma  val s1_tlb_miss         = io.tlb.resp.bits.miss
73914a67055Ssfencevma  val s1_prf              = s1_in.isPrefetch
74014a67055Ssfencevma  val s1_hw_prf           = s1_in.isHWPrefetch
74114a67055Ssfencevma  val s1_sw_prf           = s1_prf && !s1_hw_prf
74214a67055Ssfencevma  val s1_tlb_memidx       = io.tlb.resp.bits.memidx
74314a67055Ssfencevma
74414a67055Ssfencevma  s1_vaddr_hi         := s1_in.vaddr(VAddrBits - 1, 6)
74514a67055Ssfencevma  s1_vaddr_lo         := s1_in.vaddr(5, 0)
74614a67055Ssfencevma  s1_vaddr            := Cat(s1_vaddr_hi, s1_vaddr_lo)
74714a67055Ssfencevma  s1_paddr_dup_lsu    := io.tlb.resp.bits.paddr(0)
74814a67055Ssfencevma  s1_paddr_dup_dcache := io.tlb.resp.bits.paddr(1)
74914a67055Ssfencevma
75014a67055Ssfencevma  when (s1_tlb_memidx.is_ld && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_in.uop.lqIdx.value) {
75114a67055Ssfencevma    // printf("load idx = %d\n", s1_tlb_memidx.idx)
75214a67055Ssfencevma    s1_out.uop.debugInfo.tlbRespTime := GTimer()
75314a67055Ssfencevma  }
75414a67055Ssfencevma
755cd2ff98bShappy-lx  io.tlb.req_kill   := s1_kill || s1_dly_err
75614a67055Ssfencevma  io.tlb.resp.ready := true.B
75714a67055Ssfencevma
75814a67055Ssfencevma  io.dcache.s1_paddr_dup_lsu    <> s1_paddr_dup_lsu
75914a67055Ssfencevma  io.dcache.s1_paddr_dup_dcache <> s1_paddr_dup_dcache
760cd2ff98bShappy-lx  io.dcache.s1_kill             := s1_kill || s1_dly_err || s1_tlb_miss || s1_exception
76114a67055Ssfencevma
76214a67055Ssfencevma  // store to load forwarding
763cd2ff98bShappy-lx  io.sbuffer.valid := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
76414a67055Ssfencevma  io.sbuffer.vaddr := s1_vaddr
76514a67055Ssfencevma  io.sbuffer.paddr := s1_paddr_dup_lsu
76614a67055Ssfencevma  io.sbuffer.uop   := s1_in.uop
76714a67055Ssfencevma  io.sbuffer.sqIdx := s1_in.uop.sqIdx
76814a67055Ssfencevma  io.sbuffer.mask  := s1_in.mask
769870f462dSXuan Hu  io.sbuffer.pc    := s1_in.uop.pc // FIXME: remove it
77014a67055Ssfencevma
771cd2ff98bShappy-lx  io.lsq.forward.valid     := s1_valid && !(s1_exception || s1_tlb_miss || s1_kill || s1_dly_err || s1_prf)
77214a67055Ssfencevma  io.lsq.forward.vaddr     := s1_vaddr
77314a67055Ssfencevma  io.lsq.forward.paddr     := s1_paddr_dup_lsu
77414a67055Ssfencevma  io.lsq.forward.uop       := s1_in.uop
77514a67055Ssfencevma  io.lsq.forward.sqIdx     := s1_in.uop.sqIdx
776e50f3145Ssfencevma  io.lsq.forward.sqIdxMask := 0.U
77714a67055Ssfencevma  io.lsq.forward.mask      := s1_in.mask
778870f462dSXuan Hu  io.lsq.forward.pc        := s1_in.uop.pc // FIXME: remove it
77914a67055Ssfencevma
78014a67055Ssfencevma  // st-ld violation query
78100e6f2e2Sweiding liu  val s1_nuke_paddr_match = VecInit((0 until StorePipelineWidth).map(w => {Mux(s1_in.isvec && s1_in.is128bit,
78200e6f2e2Sweiding liu    s1_paddr_dup_lsu(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
78300e6f2e2Sweiding liu    s1_paddr_dup_lsu(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}))
78414a67055Ssfencevma  val s1_nuke = VecInit((0 until StorePipelineWidth).map(w => {
78514a67055Ssfencevma                       io.stld_nuke_query(w).valid && // query valid
78614a67055Ssfencevma                       isAfter(s1_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
78700e6f2e2Sweiding liu                       s1_nuke_paddr_match(w) && // paddr match
78814a67055Ssfencevma                       (s1_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
78914a67055Ssfencevma                      })).asUInt.orR && !s1_tlb_miss
79014a67055Ssfencevma
79114a67055Ssfencevma  s1_out                   := s1_in
79214a67055Ssfencevma  s1_out.vaddr             := s1_vaddr
79314a67055Ssfencevma  s1_out.paddr             := s1_paddr_dup_lsu
79414a67055Ssfencevma  s1_out.tlbMiss           := s1_tlb_miss
79514a67055Ssfencevma  s1_out.ptwBack           := io.tlb.resp.bits.ptwBack
79614a67055Ssfencevma  s1_out.rsIdx             := s1_in.rsIdx
79714a67055Ssfencevma  s1_out.rep_info.debug    := s1_in.uop.debugInfo
79814a67055Ssfencevma  s1_out.rep_info.nuke     := s1_nuke && !s1_sw_prf
799cd2ff98bShappy-lx  s1_out.delayedLoadError  := s1_dly_err
80014a67055Ssfencevma
801cd2ff98bShappy-lx  when (!s1_dly_err) {
80214a67055Ssfencevma    // current ori test will cause the case of ldest == 0, below will be modifeid in the future.
80314a67055Ssfencevma    // af & pf exception were modified
804e20747afSXuan Hu    s1_out.uop.exceptionVec(loadPageFault)   := io.tlb.resp.bits.excp(0).pf.ld && s1_vecActive && !s1_tlb_miss
805e20747afSXuan Hu    s1_out.uop.exceptionVec(loadAccessFault) := io.tlb.resp.bits.excp(0).af.ld && s1_vecActive && !s1_tlb_miss
80614a67055Ssfencevma  } .otherwise {
80771489510SXuan Hu    s1_out.uop.exceptionVec(loadPageFault)      := false.B
80871489510SXuan Hu    s1_out.uop.exceptionVec(loadAddrMisaligned) := false.B
809e20747afSXuan Hu    s1_out.uop.exceptionVec(loadAccessFault)    := s1_dly_err && s1_vecActive
81014a67055Ssfencevma  }
81114a67055Ssfencevma
81214a67055Ssfencevma  // pointer chasing
81314a67055Ssfencevma  val s1_try_ptr_chasing       = RegNext(s0_do_try_ptr_chasing, false.B)
81414a67055Ssfencevma  val s1_ptr_chasing_vaddr     = RegEnable(s0_ptr_chasing_vaddr, s0_do_try_ptr_chasing)
81514a67055Ssfencevma  val s1_fu_op_type_not_ld     = WireInit(false.B)
81614a67055Ssfencevma  val s1_not_fast_match        = WireInit(false.B)
81714a67055Ssfencevma  val s1_addr_mismatch         = WireInit(false.B)
81814a67055Ssfencevma  val s1_addr_misaligned       = WireInit(false.B)
819cd2ff98bShappy-lx  val s1_fast_mismatch         = WireInit(false.B)
82014a67055Ssfencevma  val s1_ptr_chasing_canceled  = WireInit(false.B)
82114a67055Ssfencevma  val s1_cancel_ptr_chasing    = WireInit(false.B)
82214a67055Ssfencevma
823cd2ff98bShappy-lx  s1_kill := s1_fast_rep_dly_kill ||
824e50f3145Ssfencevma             s1_cancel_ptr_chasing ||
825e50f3145Ssfencevma             s1_in.uop.robIdx.needFlush(io.redirect) ||
826cd2ff98bShappy-lx            (s1_in.uop.robIdx.needFlush(RegNext(io.redirect)) && !RegNext(s0_try_ptr_chasing)) ||
82726af847eSgood-circle             RegEnable(s0_kill, false.B, io.ldin.valid || io.vecldin.valid || io.replay.valid || io.l2l_fwd_in.valid || io.fast_rep_in.valid)
828e50f3145Ssfencevma
829c3b763d0SYinan Xu  if (EnableLoadToLoadForward) {
830c3b763d0SYinan Xu    // Sometimes, we need to cancel the load-load forwarding.
831c3b763d0SYinan Xu    // These can be put at S0 if timing is bad at S1.
832c3b763d0SYinan Xu    // Case 0: CACHE_SET(base + offset) != CACHE_SET(base) (lowest 6-bit addition has an overflow)
833cd2ff98bShappy-lx    s1_addr_mismatch     := s1_ptr_chasing_vaddr(6) ||
834cd2ff98bShappy-lx                             RegEnable(io.ld_fast_imm(11, 6).orR, s0_do_try_ptr_chasing)
835cd2ff98bShappy-lx    // Case 1: the address is not 64-bit aligned or the fuOpType is not LD
836cd2ff98bShappy-lx    s1_addr_misaligned := s1_ptr_chasing_vaddr(2, 0).orR
8378241cb85SXuan Hu    s1_fu_op_type_not_ld := io.ldin.bits.uop.fuOpType =/= LSUOpType.ld
838c163075eSsfencevma    // Case 2: this load-load uop is cancelled
83914a67055Ssfencevma    s1_ptr_chasing_canceled := !io.ldin.valid
840cd2ff98bShappy-lx    // Case 3: fast mismatch
841cd2ff98bShappy-lx    s1_fast_mismatch := RegEnable(!io.ld_fast_match, s0_do_try_ptr_chasing)
84214a67055Ssfencevma
84314a67055Ssfencevma    when (s1_try_ptr_chasing) {
844cd2ff98bShappy-lx      s1_cancel_ptr_chasing := s1_addr_mismatch ||
845cd2ff98bShappy-lx                               s1_addr_misaligned ||
846cd2ff98bShappy-lx                               s1_fu_op_type_not_ld ||
847cd2ff98bShappy-lx                               s1_ptr_chasing_canceled ||
848cd2ff98bShappy-lx                               s1_fast_mismatch
84914a67055Ssfencevma
85014a67055Ssfencevma      s1_in.uop           := io.ldin.bits.uop
851870f462dSXuan Hu      s1_in.rsIdx         := io.ldin.bits.iqIdx
852870f462dSXuan Hu      s1_in.isFirstIssue  := io.ldin.bits.isFirstIssue
853c163075eSsfencevma      s1_vaddr_lo         := s1_ptr_chasing_vaddr(5, 0)
854e50f3145Ssfencevma      s1_paddr_dup_lsu    := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
855e50f3145Ssfencevma      s1_paddr_dup_dcache := Cat(io.tlb.resp.bits.paddr(0)(PAddrBits - 1, 6), s1_vaddr_lo)
85614a67055Ssfencevma
8578744445eSMaxpicca-Li      // recored tlb time when get the data to ensure the correctness of the latency calculation (although it should not record in here, because it does not use tlb)
85814a67055Ssfencevma      s1_in.uop.debugInfo.tlbFirstReqTime := GTimer()
85914a67055Ssfencevma      s1_in.uop.debugInfo.tlbRespTime     := GTimer()
860c3b763d0SYinan Xu    }
861e50f3145Ssfencevma    when (!s1_cancel_ptr_chasing) {
86214a67055Ssfencevma      s0_ptr_chasing_canceled := s1_try_ptr_chasing && !io.replay.fire && !io.fast_rep_in.fire
86314a67055Ssfencevma      when (s1_try_ptr_chasing) {
86414a67055Ssfencevma        io.ldin.ready := true.B
86514a67055Ssfencevma      }
866c3b763d0SYinan Xu    }
867c3b763d0SYinan Xu  }
868c3b763d0SYinan Xu
86914a67055Ssfencevma  // pre-calcuate sqIdx mask in s0, then send it to lsq in s1 for forwarding
87014a67055Ssfencevma  val s1_sqIdx_mask = RegNext(UIntToMask(s0_out.uop.sqIdx.value, StoreQueueSize))
87114a67055Ssfencevma  // to enable load-load, sqIdxMask must be calculated based on ldin.uop
87214a67055Ssfencevma  // If the timing here is not OK, load-load forwarding has to be disabled.
87314a67055Ssfencevma  // Or we calculate sqIdxMask at RS??
87414a67055Ssfencevma  io.lsq.forward.sqIdxMask := s1_sqIdx_mask
87514a67055Ssfencevma  if (EnableLoadToLoadForward) {
87614a67055Ssfencevma    when (s1_try_ptr_chasing) {
87714a67055Ssfencevma      io.lsq.forward.sqIdxMask := UIntToMask(io.ldin.bits.uop.sqIdx.value, StoreQueueSize)
878c3b763d0SYinan Xu    }
87914a67055Ssfencevma  }
880024ee227SWilliam Wang
88114a67055Ssfencevma  io.forward_mshr.valid  := s1_valid && s1_out.forward_tlDchannel
88214a67055Ssfencevma  io.forward_mshr.mshrid := s1_out.mshrid
88314a67055Ssfencevma  io.forward_mshr.paddr  := s1_out.paddr
8840a47e4a1SWilliam Wang
88514a67055Ssfencevma  XSDebug(s1_valid,
886870f462dSXuan Hu    p"S1: pc ${Hexadecimal(s1_out.uop.pc)}, lId ${Hexadecimal(s1_out.uop.lqIdx.asUInt)}, tlb_miss ${io.tlb.resp.bits.miss}, " +
88714a67055Ssfencevma    p"paddr ${Hexadecimal(s1_out.paddr)}, mmio ${s1_out.mmio}\n")
888683c1411Shappy-lx
88914a67055Ssfencevma  // Pipeline
89014a67055Ssfencevma  // --------------------------------------------------------------------------------
89114a67055Ssfencevma  // stage 2
89214a67055Ssfencevma  // --------------------------------------------------------------------------------
89314a67055Ssfencevma  // s2: DCache resp
89414a67055Ssfencevma  val s2_valid  = RegInit(false.B)
895f6490124Ssfencevma  val s2_in     = Wire(new LqWriteBundle)
896f6490124Ssfencevma  val s2_out    = Wire(new LqWriteBundle)
89714a67055Ssfencevma  val s2_kill   = Wire(Bool())
89814a67055Ssfencevma  val s2_can_go = s3_ready
89914a67055Ssfencevma  val s2_fire   = s2_valid && !s2_kill && s2_can_go
900e20747afSXuan Hu  val s2_vecActive = RegEnable(s1_out.vecActive, true.B, s1_fire)
90120a5248fSzhanglinjuan  val s2_isvec  = RegEnable(s1_out.isvec, false.B, s1_fire)
902e4f69d78Ssfencevma
90314a67055Ssfencevma  s2_kill := s2_in.uop.robIdx.needFlush(io.redirect)
90414a67055Ssfencevma  s2_ready := !s2_valid || s2_kill || s3_ready
90514a67055Ssfencevma  when (s1_fire) { s2_valid := true.B }
90614a67055Ssfencevma  .elsewhen (s2_fire) { s2_valid := false.B }
90714a67055Ssfencevma  .elsewhen (s2_kill) { s2_valid := false.B }
90814a67055Ssfencevma  s2_in := RegEnable(s1_out, s1_fire)
90914a67055Ssfencevma
91014a67055Ssfencevma  val s2_pmp = WireInit(io.pmp)
911f9ac118cSHaoyuan Feng
91214a67055Ssfencevma  val s2_prf    = s2_in.isPrefetch
91314a67055Ssfencevma  val s2_hw_prf = s2_in.isHWPrefetch
91414a67055Ssfencevma
91514a67055Ssfencevma  // exception that may cause load addr to be invalid / illegal
91614a67055Ssfencevma  // if such exception happen, that inst and its exception info
91714a67055Ssfencevma  // will be force writebacked to rob
91871489510SXuan Hu  val s2_exception_vec = WireInit(s2_in.uop.exceptionVec)
919cd2ff98bShappy-lx  when (!s2_in.delayedLoadError) {
92071489510SXuan Hu    s2_exception_vec(loadAccessFault) := (s2_in.uop.exceptionVec(loadAccessFault) || s2_pmp.ld ||
921e20747afSXuan Hu                                       (io.dcache.resp.bits.tag_error && RegNext(io.csrCtrl.cache_error_enable))) && s2_vecActive
92214a67055Ssfencevma  }
923cd2ff98bShappy-lx
924cd2ff98bShappy-lx  // soft prefetch will not trigger any exception (but ecc error interrupt may
925cd2ff98bShappy-lx  // be triggered)
926cd2ff98bShappy-lx  when (!s2_in.delayedLoadError && (s2_prf || s2_in.tlbMiss)) {
927cd2ff98bShappy-lx    s2_exception_vec := 0.U.asTypeOf(s2_exception_vec.cloneType)
92814a67055Ssfencevma  }
929e20747afSXuan Hu  val s2_exception = ExceptionNO.selectByFu(s2_exception_vec, LduCfg).asUInt.orR && s2_vecActive
93014a67055Ssfencevma
93114a67055Ssfencevma  val (s2_fwd_frm_d_chan, s2_fwd_data_frm_d_chan) = io.tl_d_channel.forward(s1_valid && s1_out.forward_tlDchannel, s1_out.mshrid, s1_out.paddr)
93214a67055Ssfencevma  val (s2_fwd_data_valid, s2_fwd_frm_mshr, s2_fwd_data_frm_mshr) = io.forward_mshr.forward()
93314a67055Ssfencevma  val s2_fwd_frm_d_chan_or_mshr = s2_fwd_data_valid && (s2_fwd_frm_d_chan || s2_fwd_frm_mshr)
93414a67055Ssfencevma
93514a67055Ssfencevma  // writeback access fault caused by ecc error / bus error
93614a67055Ssfencevma  // * ecc data error is slow to generate, so we will not use it until load stage 3
93714a67055Ssfencevma  // * in load stage 3, an extra signal io.load_error will be used to
93814a67055Ssfencevma  val s2_actually_mmio = s2_pmp.mmio
939e50f3145Ssfencevma  val s2_mmio          = !s2_prf &&
940e50f3145Ssfencevma                          s2_actually_mmio &&
941e50f3145Ssfencevma                         !s2_exception &&
942e50f3145Ssfencevma                         !s2_in.tlbMiss
943e50f3145Ssfencevma
94414a67055Ssfencevma  val s2_full_fwd      = Wire(Bool())
9454b0d80d8SXuan Hu  val s2_mem_amb       = s2_in.uop.storeSetHit &&
946e50f3145Ssfencevma                         io.lsq.forward.addrInvalid
94714a67055Ssfencevma
948e50f3145Ssfencevma  val s2_tlb_miss      = s2_in.tlbMiss
94926af847eSgood-circle  val s2_fwd_fail      = io.lsq.forward.dataInvalid
950e50f3145Ssfencevma  val s2_dcache_miss   = io.dcache.resp.bits.miss &&
951e50f3145Ssfencevma                         !s2_fwd_frm_d_chan_or_mshr &&
952e50f3145Ssfencevma                         !s2_full_fwd
95314a67055Ssfencevma
954e50f3145Ssfencevma  val s2_mq_nack       = io.dcache.s2_mq_nack &&
955e50f3145Ssfencevma                         !s2_fwd_frm_d_chan_or_mshr &&
956e50f3145Ssfencevma                         !s2_full_fwd
957e50f3145Ssfencevma
958e50f3145Ssfencevma  val s2_bank_conflict = io.dcache.s2_bank_conflict &&
959e50f3145Ssfencevma                         !s2_fwd_frm_d_chan_or_mshr &&
960e50f3145Ssfencevma                         !s2_full_fwd
961e50f3145Ssfencevma
962e50f3145Ssfencevma  val s2_wpu_pred_fail = io.dcache.s2_wpu_pred_fail &&
963e50f3145Ssfencevma                        !s2_fwd_frm_d_chan_or_mshr &&
964e50f3145Ssfencevma                        !s2_full_fwd
965e50f3145Ssfencevma
966e50f3145Ssfencevma  val s2_rar_nack      = io.lsq.ldld_nuke_query.req.valid &&
967e50f3145Ssfencevma                         !io.lsq.ldld_nuke_query.req.ready
968e50f3145Ssfencevma
969e50f3145Ssfencevma  val s2_raw_nack      = io.lsq.stld_nuke_query.req.valid &&
970e50f3145Ssfencevma                         !io.lsq.stld_nuke_query.req.ready
97114a67055Ssfencevma  // st-ld violation query
97214a67055Ssfencevma  //  NeedFastRecovery Valid when
97314a67055Ssfencevma  //  1. Fast recovery query request Valid.
97414a67055Ssfencevma  //  2. Load instruction is younger than requestors(store instructions).
97514a67055Ssfencevma  //  3. Physical address match.
97614a67055Ssfencevma  //  4. Data contains.
97726af847eSgood-circle  val s2_nuke_paddr_match = VecInit((0 until StorePipelineWidth).map(w => {Mux(s2_in.isvec && s2_in.is128bit,
97826af847eSgood-circle    s2_in.paddr(PAddrBits-1, 4) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 4),
97926af847eSgood-circle    s2_in.paddr(PAddrBits-1, 3) === io.stld_nuke_query(w).bits.paddr(PAddrBits-1, 3))}))
98014a67055Ssfencevma  val s2_nuke          = VecInit((0 until StorePipelineWidth).map(w => {
98114a67055Ssfencevma                          io.stld_nuke_query(w).valid && // query valid
98214a67055Ssfencevma                          isAfter(s2_in.uop.robIdx, io.stld_nuke_query(w).bits.robIdx) && // older store
98326af847eSgood-circle                          s2_nuke_paddr_match(w) && // paddr match
98414a67055Ssfencevma                          (s2_in.mask & io.stld_nuke_query(w).bits.mask).orR // data mask contain
985e50f3145Ssfencevma                        })).asUInt.orR && !s2_tlb_miss || s2_in.rep_info.nuke
986e50f3145Ssfencevma
987e50f3145Ssfencevma  val s2_cache_handled   = io.dcache.resp.bits.handled
988e50f3145Ssfencevma  val s2_cache_tag_error = RegNext(io.csrCtrl.cache_error_enable) &&
989e50f3145Ssfencevma                           io.dcache.resp.bits.tag_error
990e50f3145Ssfencevma
991e50f3145Ssfencevma  val s2_troublem        = !s2_exception &&
992e50f3145Ssfencevma                           !s2_mmio &&
993e50f3145Ssfencevma                           !s2_prf &&
994cd2ff98bShappy-lx                           !s2_in.delayedLoadError
995e50f3145Ssfencevma
996e50f3145Ssfencevma  io.dcache.resp.ready  := true.B
997cd2ff98bShappy-lx  val s2_dcache_should_resp = !(s2_in.tlbMiss || s2_exception || s2_in.delayedLoadError || s2_mmio || s2_prf)
998e50f3145Ssfencevma  assert(!(s2_valid && (s2_dcache_should_resp && !io.dcache.resp.valid)), "DCache response got lost")
99914a67055Ssfencevma
100014a67055Ssfencevma  // fast replay require
1001e50f3145Ssfencevma  val s2_dcache_fast_rep = (s2_mq_nack || !s2_dcache_miss && (s2_bank_conflict || s2_wpu_pred_fail))
1002e50f3145Ssfencevma  val s2_nuke_fast_rep   = !s2_mq_nack &&
1003e50f3145Ssfencevma                           !s2_dcache_miss &&
1004e50f3145Ssfencevma                           !s2_bank_conflict &&
1005e50f3145Ssfencevma                           !s2_wpu_pred_fail &&
1006e50f3145Ssfencevma                           !s2_rar_nack &&
1007e50f3145Ssfencevma                           !s2_raw_nack &&
1008e50f3145Ssfencevma                           s2_nuke
100914a67055Ssfencevma
1010e50f3145Ssfencevma  val s2_fast_rep = !s2_mem_amb &&
1011e50f3145Ssfencevma                    !s2_tlb_miss &&
1012e50f3145Ssfencevma                    !s2_fwd_fail &&
1013ec45ae0cSsfencevma                    (s2_dcache_fast_rep || s2_nuke_fast_rep) &&
101414a67055Ssfencevma                    s2_troublem
101514a67055Ssfencevma
1016e50f3145Ssfencevma  // need allocate new entry
1017e50f3145Ssfencevma  val s2_can_query = !s2_mem_amb &&
1018e50f3145Ssfencevma                     !s2_tlb_miss &&
1019e50f3145Ssfencevma                     !s2_fwd_fail &&
1020e50f3145Ssfencevma                     s2_troublem
1021e50f3145Ssfencevma
1022e50f3145Ssfencevma  val s2_data_fwded = s2_dcache_miss && (s2_full_fwd || s2_cache_tag_error)
102314a67055Ssfencevma
102414a67055Ssfencevma  // ld-ld violation require
102514a67055Ssfencevma  io.lsq.ldld_nuke_query.req.valid           := s2_valid && s2_can_query
102614a67055Ssfencevma  io.lsq.ldld_nuke_query.req.bits.uop        := s2_in.uop
102714a67055Ssfencevma  io.lsq.ldld_nuke_query.req.bits.mask       := s2_in.mask
102814a67055Ssfencevma  io.lsq.ldld_nuke_query.req.bits.paddr      := s2_in.paddr
1029e50f3145Ssfencevma  io.lsq.ldld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss)
103014a67055Ssfencevma
103114a67055Ssfencevma  // st-ld violation require
103214a67055Ssfencevma  io.lsq.stld_nuke_query.req.valid           := s2_valid && s2_can_query
103314a67055Ssfencevma  io.lsq.stld_nuke_query.req.bits.uop        := s2_in.uop
103414a67055Ssfencevma  io.lsq.stld_nuke_query.req.bits.mask       := s2_in.mask
103514a67055Ssfencevma  io.lsq.stld_nuke_query.req.bits.paddr      := s2_in.paddr
1036e50f3145Ssfencevma  io.lsq.stld_nuke_query.req.bits.data_valid := Mux(s2_full_fwd || s2_fwd_data_valid, true.B, !s2_dcache_miss)
103714a67055Ssfencevma
103814a67055Ssfencevma  // merge forward result
103914a67055Ssfencevma  // lsq has higher priority than sbuffer
1040cdbff57cSHaoyuan Feng  val s2_fwd_mask = Wire(Vec((VLEN/8), Bool()))
1041cdbff57cSHaoyuan Feng  val s2_fwd_data = Wire(Vec((VLEN/8), UInt(8.W)))
104226af847eSgood-circle  s2_full_fwd := ((~s2_fwd_mask.asUInt).asUInt & s2_in.mask) === 0.U && !io.lsq.forward.dataInvalid
104314a67055Ssfencevma  // generate XLEN/8 Muxs
1044cdbff57cSHaoyuan Feng  for (i <- 0 until VLEN / 8) {
104526af847eSgood-circle    s2_fwd_mask(i) := io.lsq.forward.forwardMask(i) || io.sbuffer.forwardMask(i)
104626af847eSgood-circle    s2_fwd_data(i) := Mux(io.lsq.forward.forwardMask(i), io.lsq.forward.forwardData(i), io.sbuffer.forwardData(i))
104714a67055Ssfencevma  }
104814a67055Ssfencevma
104914a67055Ssfencevma  XSDebug(s2_fire, "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n",
1050870f462dSXuan Hu    s2_in.uop.pc,
105114a67055Ssfencevma    io.lsq.forward.forwardData.asUInt, io.lsq.forward.forwardMask.asUInt,
105214a67055Ssfencevma    s2_in.forwardData.asUInt, s2_in.forwardMask.asUInt
105314a67055Ssfencevma  )
105414a67055Ssfencevma
105514a67055Ssfencevma  //
105614a67055Ssfencevma  s2_out                     := s2_in
105714a67055Ssfencevma  s2_out.data                := 0.U // data will be generated in load s3
1058870f462dSXuan Hu  s2_out.uop.fpWen           := s2_in.uop.fpWen && !s2_exception
105914a67055Ssfencevma  s2_out.mmio                := s2_mmio
10604b0d80d8SXuan Hu  s2_out.uop.flushPipe       := false.B
1061870f462dSXuan Hu  s2_out.uop.exceptionVec    := s2_exception_vec
106214a67055Ssfencevma  s2_out.forwardMask         := s2_fwd_mask
106314a67055Ssfencevma  s2_out.forwardData         := s2_fwd_data
106414a67055Ssfencevma  s2_out.handledByMSHR       := s2_cache_handled
1065e50f3145Ssfencevma  s2_out.miss                := s2_dcache_miss && s2_troublem
106614a67055Ssfencevma  s2_out.feedbacked          := io.feedback_fast.valid
106714a67055Ssfencevma
106814a67055Ssfencevma  // Generate replay signal caused by:
106914a67055Ssfencevma  // * st-ld violation check
107014a67055Ssfencevma  // * tlb miss
107114a67055Ssfencevma  // * dcache replay
107214a67055Ssfencevma  // * forward data invalid
107314a67055Ssfencevma  // * dcache miss
107414a67055Ssfencevma  s2_out.rep_info.mem_amb         := s2_mem_amb && s2_troublem
1075e50f3145Ssfencevma  s2_out.rep_info.tlb_miss        := s2_tlb_miss && s2_troublem
1076e50f3145Ssfencevma  s2_out.rep_info.fwd_fail        := s2_fwd_fail && s2_troublem
1077e50f3145Ssfencevma  s2_out.rep_info.dcache_rep      := s2_mq_nack && s2_troublem
1078e50f3145Ssfencevma  s2_out.rep_info.dcache_miss     := s2_dcache_miss && s2_troublem
107914a67055Ssfencevma  s2_out.rep_info.bank_conflict   := s2_bank_conflict && s2_troublem
1080e50f3145Ssfencevma  s2_out.rep_info.wpu_fail        := s2_wpu_pred_fail && s2_troublem
108114a67055Ssfencevma  s2_out.rep_info.rar_nack        := s2_rar_nack && s2_troublem
108214a67055Ssfencevma  s2_out.rep_info.raw_nack        := s2_raw_nack && s2_troublem
1083e50f3145Ssfencevma  s2_out.rep_info.nuke            := s2_nuke && s2_troublem
108414a67055Ssfencevma  s2_out.rep_info.full_fwd        := s2_data_fwded
108526af847eSgood-circle  s2_out.rep_info.data_inv_sq_idx := io.lsq.forward.dataInvalidSqIdx
108626af847eSgood-circle  s2_out.rep_info.addr_inv_sq_idx := io.lsq.forward.addrInvalidSqIdx
108714a67055Ssfencevma  s2_out.rep_info.rep_carry       := io.dcache.resp.bits.replayCarry
108814a67055Ssfencevma  s2_out.rep_info.mshr_id         := io.dcache.resp.bits.mshr_id
108914a67055Ssfencevma  s2_out.rep_info.last_beat       := s2_in.paddr(log2Up(refillBytes))
109014a67055Ssfencevma  s2_out.rep_info.debug           := s2_in.uop.debugInfo
1091185e6164SHaoyuan Feng  s2_out.rep_info.tlb_id          := io.tlb_hint.id
1092185e6164SHaoyuan Feng  s2_out.rep_info.tlb_full        := io.tlb_hint.full
109314a67055Ssfencevma
109414a67055Ssfencevma  // if forward fail, replay this inst from fetch
1095e50f3145Ssfencevma  val debug_fwd_fail_rep = s2_fwd_fail && !s2_troublem && !s2_in.tlbMiss
109614a67055Ssfencevma  // if ld-ld violation is detected, replay from this inst from fetch
109714a67055Ssfencevma  val debug_ldld_nuke_rep = false.B // s2_ldld_violation && !s2_mmio && !s2_is_prefetch && !s2_in.tlbMiss
109814a67055Ssfencevma
109914a67055Ssfencevma  // to be removed
1100cd2ff98bShappy-lx  io.feedback_fast.valid                 := false.B
110114a67055Ssfencevma  io.feedback_fast.bits.hit              := false.B
110214a67055Ssfencevma  io.feedback_fast.bits.flushState       := s2_in.ptwBack
11037f8f47b4SXuan Hu  io.feedback_fast.bits.robIdx           := s2_in.uop.robIdx
110414a67055Ssfencevma  io.feedback_fast.bits.sourceType       := RSFeedbackType.lrqFull
110514a67055Ssfencevma  io.feedback_fast.bits.dataInvalidSqIdx := DontCare
110614a67055Ssfencevma
110763101478SHaojin Tang  io.ldCancel.ld1Cancel := false.B
11082326221cSXuan Hu
110914a67055Ssfencevma  // fast wakeup
111014a67055Ssfencevma  io.fast_uop.valid := RegNext(
111114a67055Ssfencevma    !io.dcache.s1_disable_fast_wakeup &&
111214a67055Ssfencevma    s1_valid &&
111314a67055Ssfencevma    !s1_kill &&
1114f9ac118cSHaoyuan Feng    !io.tlb.resp.bits.miss &&
111514a67055Ssfencevma    !io.lsq.forward.dataInvalidFast
111620a5248fSzhanglinjuan  ) && (s2_valid && !s2_out.rep_info.need_rep && !s2_mmio) && !s2_isvec
111714a67055Ssfencevma  io.fast_uop.bits := RegNext(s1_out.uop)
111814a67055Ssfencevma
111914a67055Ssfencevma  //
1120495ea2f0Ssfencevma  io.s2_ptr_chasing                    := RegEnable(s1_try_ptr_chasing && !s1_cancel_ptr_chasing, false.B, s1_fire)
11210d32f713Shappy-lx
1122cd2ff98bShappy-lx  // RegNext prefetch train for better timing
1123cd2ff98bShappy-lx  // ** Now, prefetch train is valid at load s3 **
1124cd2ff98bShappy-lx  io.prefetch_train.valid              := RegNext(s2_valid && !s2_actually_mmio && !s2_in.tlbMiss)
1125cd2ff98bShappy-lx  io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true)
1126cd2ff98bShappy-lx  io.prefetch_train.bits.miss          := RegNext(io.dcache.resp.bits.miss) // TODO: use trace with bank conflict?
1127cd2ff98bShappy-lx  io.prefetch_train.bits.meta_prefetch := RegNext(io.dcache.resp.bits.meta_prefetch)
1128cd2ff98bShappy-lx  io.prefetch_train.bits.meta_access   := RegNext(io.dcache.resp.bits.meta_access)
11290d32f713Shappy-lx
1130cd2ff98bShappy-lx  io.prefetch_train_l1.valid              := RegNext(s2_valid && !s2_actually_mmio)
1131cd2ff98bShappy-lx  io.prefetch_train_l1.bits.fromLsPipelineBundle(s2_in, latch = true)
1132cd2ff98bShappy-lx  io.prefetch_train_l1.bits.miss          := RegNext(io.dcache.resp.bits.miss)
1133cd2ff98bShappy-lx  io.prefetch_train_l1.bits.meta_prefetch := RegNext(io.dcache.resp.bits.meta_prefetch)
1134cd2ff98bShappy-lx  io.prefetch_train_l1.bits.meta_access   := RegNext(io.dcache.resp.bits.meta_access)
113504665835SMaxpicca-Li  if (env.FPGAPlatform){
113604665835SMaxpicca-Li    io.dcache.s0_pc := DontCare
113704665835SMaxpicca-Li    io.dcache.s1_pc := DontCare
1138977e92c1SWilliam Wang    io.dcache.s2_pc := DontCare
113904665835SMaxpicca-Li  }else{
1140870f462dSXuan Hu    io.dcache.s0_pc := s0_out.uop.pc
1141870f462dSXuan Hu    io.dcache.s1_pc := s1_out.uop.pc
1142870f462dSXuan Hu    io.dcache.s2_pc := s2_out.uop.pc
114304665835SMaxpicca-Li  }
1144f6f10bebSsfencevma  io.dcache.s2_kill := s2_pmp.ld || s2_actually_mmio || s2_kill
1145e4f69d78Ssfencevma
1146e50f3145Ssfencevma  val s1_ld_left_fire = s1_valid && !s1_kill && s2_ready
114714a67055Ssfencevma  val s2_ld_valid_dup = RegInit(0.U(6.W))
114814a67055Ssfencevma  s2_ld_valid_dup := 0x0.U(6.W)
114914a67055Ssfencevma  when (s1_ld_left_fire && !s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x3f.U(6.W) }
1150e50f3145Ssfencevma  when (s1_kill || s1_out.isHWPrefetch) { s2_ld_valid_dup := 0x0.U(6.W) }
115114a67055Ssfencevma  assert(RegNext((s2_valid === s2_ld_valid_dup(0)) || RegNext(s1_out.isHWPrefetch)))
1152024ee227SWilliam Wang
115314a67055Ssfencevma  // Pipeline
115414a67055Ssfencevma  // --------------------------------------------------------------------------------
115514a67055Ssfencevma  // stage 3
115614a67055Ssfencevma  // --------------------------------------------------------------------------------
115714a67055Ssfencevma  // writeback and update load queue
1158f6490124Ssfencevma  val s3_valid        = RegNext(s2_valid && !s2_out.isHWPrefetch && !s2_out.uop.robIdx.needFlush(io.redirect))
115914a67055Ssfencevma  val s3_in           = RegEnable(s2_out, s2_fire)
1160870f462dSXuan Hu  val s3_out          = Wire(Valid(new MemExuOutput))
1161495ea2f0Ssfencevma  val s3_dcache_rep   = RegEnable(s2_dcache_fast_rep && s2_troublem, false.B, s2_fire)
116214a67055Ssfencevma  val s3_ld_valid_dup = RegEnable(s2_ld_valid_dup, s2_fire)
116314a67055Ssfencevma  val s3_fast_rep     = Wire(Bool())
1164e50f3145Ssfencevma  val s3_troublem     = RegNext(s2_troublem)
116514a67055Ssfencevma  val s3_kill         = s3_in.uop.robIdx.needFlush(io.redirect)
116620a5248fSzhanglinjuan  val s3_vecout       = Wire(new OnlyVecExuOutput)
1167e20747afSXuan Hu  val s3_vecActive    = RegEnable(s2_out.vecActive, true.B, s2_fire)
116820a5248fSzhanglinjuan  val s3_isvec        = RegEnable(s2_out.isvec, false.B, s2_fire)
11695281d28fSweiding liu  val s3_vec_alignedType = RegEnable(s2_out.alignedType, s2_fire)
11705281d28fSweiding liu  val s3_vec_mBIndex     = RegEnable(s2_out.mbIndex, s2_fire)
117163101478SHaojin Tang  val s3_mmio         = Wire(chiselTypeOf(io.lsq.uncache))
117226af847eSgood-circle  // TODO: Fix vector load merge buffer nack
117326af847eSgood-circle  val s3_vec_mb_nack  = Wire(Bool())
117426af847eSgood-circle  s3_vec_mb_nack     := false.B
117526af847eSgood-circle  XSError(s3_valid && s3_vec_mb_nack, "Merge buffer should always accept vector loads!")
117626af847eSgood-circle
117714a67055Ssfencevma  s3_ready := !s3_valid || s3_kill || io.ldout.ready
117863101478SHaojin Tang  s3_mmio.valid := RegNextN(io.lsq.uncache.valid, 3, Some(false.B))
117963101478SHaojin Tang  s3_mmio.ready := RegNextN(io.lsq.uncache.ready, 3, Some(false.B))
118063101478SHaojin Tang  s3_mmio.bits  := RegNextN(io.lsq.uncache.bits, 3)
1181a760aeb0Shappy-lx
1182e50f3145Ssfencevma  // forwrad last beat
1183e50f3145Ssfencevma  val (s3_fwd_frm_d_chan, s3_fwd_data_frm_d_chan) = io.tl_d_channel.forward(s2_valid && s2_out.forward_tlDchannel, s2_out.mshrid, s2_out.paddr)
1184495ea2f0Ssfencevma  val s3_fwd_data_valid = RegEnable(s2_fwd_data_valid, false.B, s2_valid)
1185a57c4f84Ssfencevma  val s3_fwd_frm_d_chan_valid = (s3_fwd_frm_d_chan && s3_fwd_data_valid && s3_in.handledByMSHR)
118626af847eSgood-circle  val s3_fast_rep_canceled = io.replay.valid && io.replay.bits.forward_tlDchannel || !io.dcache.req.ready
1187e50f3145Ssfencevma
118895767918Szhanglinjuan  // s3 load fast replay
118926af847eSgood-circle  io.fast_rep_out.valid := s3_valid && s3_fast_rep && !s3_in.uop.robIdx.needFlush(io.redirect)
119095767918Szhanglinjuan  io.fast_rep_out.bits := s3_in
119195767918Szhanglinjuan
119295767918Szhanglinjuan  io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || s3_fast_rep_canceled) && !s3_in.feedbacked
119395767918Szhanglinjuan  // TODO: check this --by hx
119495767918Szhanglinjuan  // io.lsq.ldin.valid := s3_valid && (!s3_fast_rep || !io.fast_rep_out.ready) && !s3_in.feedbacked && !s3_in.lateKill
119514a67055Ssfencevma  io.lsq.ldin.bits := s3_in
1196e50f3145Ssfencevma  io.lsq.ldin.bits.miss := s3_in.miss && !s3_fwd_frm_d_chan_valid
1197594c5198Ssfencevma
1198e4f69d78Ssfencevma  /* <------- DANGEROUS: Don't change sequence here ! -------> */
119914a67055Ssfencevma  io.lsq.ldin.bits.data_wen_dup := s3_ld_valid_dup.asBools
120014a67055Ssfencevma  io.lsq.ldin.bits.replacementUpdated := io.dcache.resp.bits.replacementUpdated
12010d32f713Shappy-lx  io.lsq.ldin.bits.missDbUpdated := RegNext(s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated)
1202a760aeb0Shappy-lx
120314a67055Ssfencevma  val s3_dly_ld_err =
1204e4f69d78Ssfencevma    if (EnableAccurateLoadError) {
1205cd2ff98bShappy-lx      io.dcache.resp.bits.error_delayed && RegNext(io.csrCtrl.cache_error_enable) && s3_troublem
1206e4f69d78Ssfencevma    } else {
1207e4f69d78Ssfencevma      WireInit(false.B)
1208e4f69d78Ssfencevma    }
120914a67055Ssfencevma  io.s3_dly_ld_err := false.B // s3_dly_ld_err && s3_valid
1210e50f3145Ssfencevma  io.lsq.ldin.bits.dcacheRequireReplay  := s3_dcache_rep
1211cd2ff98bShappy-lx  io.fast_rep_out.bits.delayedLoadError := s3_dly_ld_err
1212e4f69d78Ssfencevma
1213e50f3145Ssfencevma  val s3_vp_match_fail = RegNext(io.lsq.forward.matchInvalid || io.sbuffer.matchInvalid) && s3_troublem
12143b1a683bSsfencevma  val s3_rep_frm_fetch = s3_vp_match_fail
121514a67055Ssfencevma  val s3_ldld_rep_inst =
121614a67055Ssfencevma      io.lsq.ldld_nuke_query.resp.valid &&
121714a67055Ssfencevma      io.lsq.ldld_nuke_query.resp.bits.rep_frm_fetch &&
1218e4f69d78Ssfencevma      RegNext(io.csrCtrl.ldld_vio_check_enable)
12193b1a683bSsfencevma  val s3_flushPipe = s3_ldld_rep_inst
122067cddb05SWilliam Wang
1221e50f3145Ssfencevma  val s3_rep_info = WireInit(s3_in.rep_info)
1222cd2ff98bShappy-lx  s3_rep_info.dcache_miss   := s3_in.rep_info.dcache_miss && !s3_fwd_frm_d_chan_valid
122314a67055Ssfencevma  val s3_sel_rep_cause = PriorityEncoderOH(s3_rep_info.cause.asUInt)
1224e4f69d78Ssfencevma
1225e20747afSXuan Hu  val s3_exception = ExceptionNO.selectByFu(s3_in.uop.exceptionVec, LduCfg).asUInt.orR && s3_vecActive
1226b494b97bSsfencevma  when (s3_exception || s3_dly_ld_err || s3_rep_frm_fetch) {
122714a67055Ssfencevma    io.lsq.ldin.bits.rep_info.cause := 0.U.asTypeOf(s3_rep_info.cause.cloneType)
1228e4f69d78Ssfencevma  } .otherwise {
122914a67055Ssfencevma    io.lsq.ldin.bits.rep_info.cause := VecInit(s3_sel_rep_cause.asBools)
1230e4f69d78Ssfencevma  }
1231024ee227SWilliam Wang
1232e50f3145Ssfencevma  // Int load, if hit, will be writebacked at s3
1233e50f3145Ssfencevma  s3_out.valid                := s3_valid && !io.lsq.ldin.bits.rep_info.need_rep && !s3_in.mmio
123414a67055Ssfencevma  s3_out.bits.uop             := s3_in.uop
1235e20747afSXuan Hu  s3_out.bits.uop.exceptionVec(loadAccessFault) := (s3_dly_ld_err || s3_in.uop.exceptionVec(loadAccessFault)) && s3_vecActive
123671489510SXuan Hu  s3_out.bits.uop.flushPipe   := false.B
1237c8a344d0Ssfencevma  s3_out.bits.uop.replayInst  := s3_rep_frm_fetch || s3_flushPipe
123814a67055Ssfencevma  s3_out.bits.data            := s3_in.data
123914a67055Ssfencevma  s3_out.bits.debug.isMMIO    := s3_in.mmio
124014a67055Ssfencevma  s3_out.bits.debug.isPerfCnt := false.B
124114a67055Ssfencevma  s3_out.bits.debug.paddr     := s3_in.paddr
124214a67055Ssfencevma  s3_out.bits.debug.vaddr     := s3_in.vaddr
124326af847eSgood-circle
124426af847eSgood-circle  // Vector load, writeback to merge buffer
124526af847eSgood-circle  // TODO: Add assertion in merge buffer, merge buffer must accept vec load writeback
124620a5248fSzhanglinjuan  s3_vecout.isvec             := s3_isvec
124720a5248fSzhanglinjuan  s3_vecout.vecdata           := 0.U // Data will be assigned later
124820a5248fSzhanglinjuan  s3_vecout.mask              := s3_in.mask
124920a5248fSzhanglinjuan  // s3_vecout.rob_idx_valid     := s3_in.rob_idx_valid
125020a5248fSzhanglinjuan  // s3_vecout.inner_idx         := s3_in.inner_idx
125120a5248fSzhanglinjuan  // s3_vecout.rob_idx           := s3_in.rob_idx
125220a5248fSzhanglinjuan  // s3_vecout.offset            := s3_in.offset
125320a5248fSzhanglinjuan  s3_vecout.reg_offset        := s3_in.reg_offset
1254e20747afSXuan Hu  s3_vecout.vecActive         := s3_vecActive
125520a5248fSzhanglinjuan  s3_vecout.is_first_ele      := s3_in.is_first_ele
12563952421bSweiding liu  // s3_vecout.uopQueuePtr       := DontCare // uopQueuePtr is already saved in flow queue
12573952421bSweiding liu  // s3_vecout.flowPtr           := s3_in.flowPtr
12585281d28fSweiding liu  s3_vecout.elemIdx           := s3_in.elemIdx // elemIdx is already saved in flow queue // TODO:
1259748999d4Szhanglinjuan  s3_vecout.elemIdxInsideVd   := DontCare
1260b7618691Sweiding liu  val s3_usSecondInv          = s3_in.usSecondInv
1261024ee227SWilliam Wang
1262cd2ff98bShappy-lx  io.rollback.valid := s3_valid && (s3_rep_frm_fetch || s3_flushPipe) && !s3_exception
12633343d4a5Ssfencevma  io.rollback.bits             := DontCare
126471489510SXuan Hu  io.rollback.bits.isRVC       := s3_out.bits.uop.preDecodeInfo.isRVC
12653343d4a5Ssfencevma  io.rollback.bits.robIdx      := s3_out.bits.uop.robIdx
12668241cb85SXuan Hu  io.rollback.bits.ftqIdx      := s3_out.bits.uop.ftqPtr
12678241cb85SXuan Hu  io.rollback.bits.ftqOffset   := s3_out.bits.uop.ftqOffset
12683b1a683bSsfencevma  io.rollback.bits.level       := Mux(s3_rep_frm_fetch, RedirectLevel.flush, RedirectLevel.flushAfter)
12698241cb85SXuan Hu  io.rollback.bits.cfiUpdate.target := s3_out.bits.uop.pc
12703343d4a5Ssfencevma  io.rollback.bits.debug_runahead_checkpoint_id := s3_out.bits.uop.debugInfo.runahead_checkpoint_id
1271e4f69d78Ssfencevma  /* <------- DANGEROUS: Don't change sequence here ! -------> */
1272cb9c18dcSWilliam Wang
127314a67055Ssfencevma  io.lsq.ldin.bits.uop := s3_out.bits.uop
1274e4f69d78Ssfencevma
127514a67055Ssfencevma  val s3_revoke = s3_exception || io.lsq.ldin.bits.rep_info.need_rep
127614a67055Ssfencevma  io.lsq.ldld_nuke_query.revoke := s3_revoke
127714a67055Ssfencevma  io.lsq.stld_nuke_query.revoke := s3_revoke
1278e4f69d78Ssfencevma
1279e4f69d78Ssfencevma  // feedback slow
1280cd2ff98bShappy-lx  s3_fast_rep := RegNext(s2_fast_rep)
1281e50f3145Ssfencevma
1282cd2ff98bShappy-lx  val s3_fb_no_waiting = !s3_in.isLoadReplay &&
1283cd2ff98bShappy-lx                        (!(s3_fast_rep && !s3_fast_rep_canceled)) &&
1284cd2ff98bShappy-lx                        !s3_in.feedbacked
1285594c5198Ssfencevma
128626af847eSgood-circle  // feedback: scalar load will send feedback to RS
128726af847eSgood-circle  //           vector load will send signal to VL Merge Buffer, then send feedback at granularity of uops
128826af847eSgood-circle  io.feedback_slow.valid                 := s3_valid && s3_fb_no_waiting && !s3_isvec
1289cd2ff98bShappy-lx  io.feedback_slow.bits.hit              := !s3_rep_info.need_rep || io.lsq.ldin.ready
129014a67055Ssfencevma  io.feedback_slow.bits.flushState       := s3_in.ptwBack
12915db4956bSzhanglyGit  io.feedback_slow.bits.robIdx           := s3_in.uop.robIdx
129214a67055Ssfencevma  io.feedback_slow.bits.sourceType       := RSFeedbackType.lrqFull
129314a67055Ssfencevma  io.feedback_slow.bits.dataInvalidSqIdx := DontCare
1294e4f69d78Ssfencevma
129503a027d3SzhanglyGit  io.ldCancel.ld2Cancel := s3_valid && (
1296596af5d2SHaojin Tang    io.lsq.ldin.bits.rep_info.need_rep ||                       // exe fail or
1297596af5d2SHaojin Tang    s3_in.mmio                                                  // is mmio
129835e90f34SXuan Hu  )
129914a67055Ssfencevma
130063101478SHaojin Tang  val s3_ld_wb_meta = Mux(s3_valid, s3_out.bits, s3_mmio.bits)
1301e4f69d78Ssfencevma
1302cb9c18dcSWilliam Wang  // data from load queue refill
130363101478SHaojin Tang  val s3_ld_raw_data_frm_uncache = RegNextN(io.lsq.ld_raw_data, 3)
130414a67055Ssfencevma  val s3_merged_data_frm_uncache = s3_ld_raw_data_frm_uncache.mergedData()
130514a67055Ssfencevma  val s3_picked_data_frm_uncache = LookupTree(s3_ld_raw_data_frm_uncache.addrOffset, List(
130614a67055Ssfencevma    "b000".U -> s3_merged_data_frm_uncache(63,  0),
130714a67055Ssfencevma    "b001".U -> s3_merged_data_frm_uncache(63,  8),
130814a67055Ssfencevma    "b010".U -> s3_merged_data_frm_uncache(63, 16),
130914a67055Ssfencevma    "b011".U -> s3_merged_data_frm_uncache(63, 24),
131014a67055Ssfencevma    "b100".U -> s3_merged_data_frm_uncache(63, 32),
131114a67055Ssfencevma    "b101".U -> s3_merged_data_frm_uncache(63, 40),
131214a67055Ssfencevma    "b110".U -> s3_merged_data_frm_uncache(63, 48),
131314a67055Ssfencevma    "b111".U -> s3_merged_data_frm_uncache(63, 56)
1314cb9c18dcSWilliam Wang  ))
131514a67055Ssfencevma  val s3_ld_data_frm_uncache = rdataHelper(s3_ld_raw_data_frm_uncache.uop, s3_picked_data_frm_uncache)
1316cb9c18dcSWilliam Wang
1317cb9c18dcSWilliam Wang  // data from dcache hit
131814a67055Ssfencevma  val s3_ld_raw_data_frm_cache = Wire(new LoadDataFromDcacheBundle)
131914a67055Ssfencevma  s3_ld_raw_data_frm_cache.respDcacheData       := io.dcache.resp.bits.data_delayed
132014a67055Ssfencevma  s3_ld_raw_data_frm_cache.forwardMask          := RegEnable(s2_fwd_mask, s2_valid)
132114a67055Ssfencevma  s3_ld_raw_data_frm_cache.forwardData          := RegEnable(s2_fwd_data, s2_valid)
132214a67055Ssfencevma  s3_ld_raw_data_frm_cache.uop                  := RegEnable(s2_out.uop, s2_valid)
1323cdbff57cSHaoyuan Feng  s3_ld_raw_data_frm_cache.addrOffset           := RegEnable(s2_out.paddr(3, 0), s2_valid)
1324495ea2f0Ssfencevma  s3_ld_raw_data_frm_cache.forward_D            := RegEnable(s2_fwd_frm_d_chan, false.B, s2_valid) || s3_fwd_frm_d_chan_valid
1325e50f3145Ssfencevma  s3_ld_raw_data_frm_cache.forwardData_D        := Mux(s3_fwd_frm_d_chan_valid, s3_fwd_data_frm_d_chan, RegEnable(s2_fwd_data_frm_d_chan, s2_valid))
1326495ea2f0Ssfencevma  s3_ld_raw_data_frm_cache.forward_mshr         := RegEnable(s2_fwd_frm_mshr, false.B, s2_valid)
132714a67055Ssfencevma  s3_ld_raw_data_frm_cache.forwardData_mshr     := RegEnable(s2_fwd_data_frm_mshr, s2_valid)
1328495ea2f0Ssfencevma  s3_ld_raw_data_frm_cache.forward_result_valid := RegEnable(s2_fwd_data_valid, false.B, s2_valid)
132914a67055Ssfencevma
133014a67055Ssfencevma  val s3_merged_data_frm_cache = s3_ld_raw_data_frm_cache.mergedData()
133114a67055Ssfencevma  val s3_picked_data_frm_cache = LookupTree(s3_ld_raw_data_frm_cache.addrOffset, List(
1332cdbff57cSHaoyuan Feng    "b0000".U -> s3_merged_data_frm_cache(63,    0),
1333cdbff57cSHaoyuan Feng    "b0001".U -> s3_merged_data_frm_cache(63,    8),
1334cdbff57cSHaoyuan Feng    "b0010".U -> s3_merged_data_frm_cache(63,   16),
1335cdbff57cSHaoyuan Feng    "b0011".U -> s3_merged_data_frm_cache(63,   24),
1336cdbff57cSHaoyuan Feng    "b0100".U -> s3_merged_data_frm_cache(63,   32),
1337cdbff57cSHaoyuan Feng    "b0101".U -> s3_merged_data_frm_cache(63,   40),
1338cdbff57cSHaoyuan Feng    "b0110".U -> s3_merged_data_frm_cache(63,   48),
1339cdbff57cSHaoyuan Feng    "b0111".U -> s3_merged_data_frm_cache(63,   56),
1340cdbff57cSHaoyuan Feng    "b1000".U -> s3_merged_data_frm_cache(127,  64),
1341cdbff57cSHaoyuan Feng    "b1001".U -> s3_merged_data_frm_cache(127,  72),
1342cdbff57cSHaoyuan Feng    "b1010".U -> s3_merged_data_frm_cache(127,  80),
1343cdbff57cSHaoyuan Feng    "b1011".U -> s3_merged_data_frm_cache(127,  88),
1344cdbff57cSHaoyuan Feng    "b1100".U -> s3_merged_data_frm_cache(127,  96),
1345cdbff57cSHaoyuan Feng    "b1101".U -> s3_merged_data_frm_cache(127, 104),
1346cdbff57cSHaoyuan Feng    "b1110".U -> s3_merged_data_frm_cache(127, 112),
1347cdbff57cSHaoyuan Feng    "b1111".U -> s3_merged_data_frm_cache(127, 120)
1348cb9c18dcSWilliam Wang  ))
134914a67055Ssfencevma  val s3_ld_data_frm_cache = rdataHelper(s3_ld_raw_data_frm_cache.uop, s3_picked_data_frm_cache)
1350cb9c18dcSWilliam Wang
1351e4f69d78Ssfencevma  // FIXME: add 1 cycle delay ?
135263101478SHaojin Tang  // io.lsq.uncache.ready := !s3_valid
135314a67055Ssfencevma  io.ldout.bits        := s3_ld_wb_meta
1354cd2ff98bShappy-lx  io.ldout.bits.data   := Mux(s3_valid, s3_ld_data_frm_cache, s3_ld_data_frm_uncache)
135563101478SHaojin Tang  io.ldout.valid       := (s3_out.valid || (s3_mmio.valid && !s3_valid)) && !s3_vecout.isvec
1356c837faaaSWilliam Wang
135795767918Szhanglinjuan  // TODO: check this --hx
135895767918Szhanglinjuan  // io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && !s3_vecout.isvec ||
135995767918Szhanglinjuan  //   io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
136063101478SHaojin Tang  //  io.ldout.bits.data   := Mux(s3_out.valid, s3_ld_data_frm_cache, s3_ld_data_frm_uncache)
136163101478SHaojin Tang  //  io.ldout.valid       := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) ||
136263101478SHaojin Tang  //                         s3_mmio.valid && !s3_mmio.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid
136395767918Szhanglinjuan
13643b1a683bSsfencevma  // s3 load fast replay
136526af847eSgood-circle  io.fast_rep_out.valid := s3_valid && s3_fast_rep
13663b1a683bSsfencevma  io.fast_rep_out.bits := s3_in
13673b1a683bSsfencevma  io.fast_rep_out.bits.lateKill := s3_rep_frm_fetch
1368c837faaaSWilliam Wang
136926af847eSgood-circle  val vecFeedback = s3_valid && s3_fb_no_waiting && s3_rep_info.need_rep && !io.lsq.ldin.ready && s3_isvec
137026af847eSgood-circle
137120a5248fSzhanglinjuan  // vector output
1372b7618691Sweiding liu  io.vecldout.bits.alignedType.get := s3_vec_alignedType
137326af847eSgood-circle  // vec feedback
137426af847eSgood-circle  io.vecldout.bits.vecFeedback := vecFeedback
137520a5248fSzhanglinjuan  // TODO: VLSU, uncache data logic
137600e6f2e2Sweiding liu  val vecdata = rdataVecHelper(s3_vec_alignedType(1,0), s3_picked_data_frm_cache)
1377b7618691Sweiding liu  io.vecldout.bits.vecdata.get := Mux(s3_in.is128bit, s3_merged_data_frm_cache, vecdata)
1378b7618691Sweiding liu  io.vecldout.bits.isvec := s3_vecout.isvec
1379b7618691Sweiding liu  io.vecldout.bits.elemIdx.get := s3_vecout.elemIdx
1380b7618691Sweiding liu  io.vecldout.bits.elemIdxInsideVd.get := s3_vecout.elemIdxInsideVd
1381b7618691Sweiding liu  io.vecldout.bits.mask.get := s3_vecout.mask
1382b7618691Sweiding liu  io.vecldout.bits.reg_offset.get := s3_vecout.reg_offset
1383b7618691Sweiding liu  io.vecldout.bits.usSecondInv := s3_usSecondInv
1384b7618691Sweiding liu  io.vecldout.bits.mBIndex := s3_vec_mBIndex
1385b7618691Sweiding liu  io.vecldout.bits.hit := !s3_rep_info.need_rep || io.lsq.ldin.ready
1386b7618691Sweiding liu  io.vecldout.bits.sourceType := RSFeedbackType.lrqFull
1387ebb914e7Sweiding liu  io.vecldout.bits.flushState := DontCare
1388b7618691Sweiding liu  io.vecldout.bits.exceptionVec := s3_out.bits.uop.exceptionVec
1389b7618691Sweiding liu  io.vecldout.bits.mmio := DontCare
1390b7618691Sweiding liu
139195767918Szhanglinjuan  io.vecldout.valid := s3_out.valid && !s3_out.bits.uop.robIdx.needFlush(io.redirect) && s3_vecout.isvec ||
139226af847eSgood-circle  // TODO: check this, why !io.lsq.uncache.bits.isVls before?
139326af847eSgood-circle    io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && io.lsq.uncache.bits.isVls
139426af847eSgood-circle    //io.lsq.uncache.valid && !io.lsq.uncache.bits.uop.robIdx.needFlush(io.redirect) && !s3_out.valid && !io.lsq.uncache.bits.isVls
1395c837faaaSWilliam Wang
1396a19ae480SWilliam Wang  // fast load to load forward
1397cd2ff98bShappy-lx  if (EnableLoadToLoadForward) {
1398cd2ff98bShappy-lx    io.l2l_fwd_out.valid      := s3_valid && !s3_in.mmio && !s3_rep_info.need_rep
1399cd2ff98bShappy-lx    io.l2l_fwd_out.data       := Mux(s3_in.vaddr(3), s3_merged_data_frm_cache(127, 64), s3_merged_data_frm_cache(63, 0))
1400cd2ff98bShappy-lx    io.l2l_fwd_out.dly_ld_err := s3_dly_ld_err || // ecc delayed error
1401cd2ff98bShappy-lx                                 s3_ldld_rep_inst ||
1402cd2ff98bShappy-lx                                 s3_rep_frm_fetch
1403cd2ff98bShappy-lx  } else {
1404cd2ff98bShappy-lx    io.l2l_fwd_out.valid := false.B
1405cd2ff98bShappy-lx    io.l2l_fwd_out.data := DontCare
1406cd2ff98bShappy-lx    io.l2l_fwd_out.dly_ld_err := DontCare
1407cd2ff98bShappy-lx  }
1408a19ae480SWilliam Wang
1409b52348aeSWilliam Wang   // trigger
141014a67055Ssfencevma  val last_valid_data = RegNext(RegEnable(io.ldout.bits.data, io.ldout.fire))
1411f7af4c74Schengguanghui  val hit_ld_addr_trig_hit_vec = Wire(Vec(TriggerNum, Bool()))
141214a67055Ssfencevma  val lq_ld_addr_trig_hit_vec = io.lsq.trigger.lqLoadAddrTriggerHitVec
1413f7af4c74Schengguanghui  (0 until TriggerNum).map{i => {
1414e4f69d78Ssfencevma    val tdata2    = RegNext(io.trigger(i).tdata2)
1415e4f69d78Ssfencevma    val matchType = RegNext(io.trigger(i).matchType)
1416e4f69d78Ssfencevma    val tEnable   = RegNext(io.trigger(i).tEnable)
14170277f8caSLi Qianruo
141814a67055Ssfencevma    hit_ld_addr_trig_hit_vec(i) := TriggerCmp(RegNext(s2_out.vaddr), tdata2, matchType, tEnable)
141914a67055Ssfencevma    io.trigger(i).addrHit       := Mux(s3_out.valid, hit_ld_addr_trig_hit_vec(i), lq_ld_addr_trig_hit_vec(i))
1420b978565cSWilliam Wang  }}
142114a67055Ssfencevma  io.lsq.trigger.hitLoadAddrTriggerHitVec := hit_ld_addr_trig_hit_vec
1422b978565cSWilliam Wang
1423e4f69d78Ssfencevma  // FIXME: please move this part to LoadQueueReplay
1424e4f69d78Ssfencevma  io.debug_ls := DontCare
14258744445eSMaxpicca-Li
142614a67055Ssfencevma  // Topdown
142714a67055Ssfencevma  io.lsTopdownInfo.s1.robIdx          := s1_in.uop.robIdx.value
142814a67055Ssfencevma  io.lsTopdownInfo.s1.vaddr_valid     := s1_valid && s1_in.hasROBEntry
142914a67055Ssfencevma  io.lsTopdownInfo.s1.vaddr_bits      := s1_vaddr
143014a67055Ssfencevma  io.lsTopdownInfo.s2.robIdx          := s2_in.uop.robIdx.value
143114a67055Ssfencevma  io.lsTopdownInfo.s2.paddr_valid     := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss
143214a67055Ssfencevma  io.lsTopdownInfo.s2.paddr_bits      := s2_in.paddr
14330d32f713Shappy-lx  io.lsTopdownInfo.s2.first_real_miss := io.dcache.resp.bits.real_miss
14340d32f713Shappy-lx  io.lsTopdownInfo.s2.cache_miss_en   := s2_fire && s2_in.hasROBEntry && !s2_in.tlbMiss && !s2_in.missDbUpdated
143514a67055Ssfencevma
143614a67055Ssfencevma  // perf cnt
14371b027d07Ssfencevma  XSPerfAccumulate("s0_in_valid",                  io.ldin.valid)
14381b027d07Ssfencevma  XSPerfAccumulate("s0_in_block",                  io.ldin.valid && !io.ldin.fire)
1439b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_vecin_valid",               io.vecldin.valid)
1440b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_vecin_block",               io.vecldin.valid && !io.vecldin.fire)
1441cd2ff98bShappy-lx  XSPerfAccumulate("s0_in_fire_first_issue",       s0_valid && s0_sel_src.isFirstIssue)
1442b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_lsq_replay_issue",          io.replay.fire)
1443b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_lsq_replay_vecissue",       io.replay.fire && io.replay.bits.isvec)
1444cd2ff98bShappy-lx  XSPerfAccumulate("s0_ldu_fire_first_issue",      io.ldin.fire && s0_sel_src.isFirstIssue)
14451b027d07Ssfencevma  XSPerfAccumulate("s0_fast_replay_issue",         io.fast_rep_in.fire)
1446b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_fast_replay_vecissue",      io.fast_rep_in.fire && io.fast_rep_in.bits.isvec)
144714a67055Ssfencevma  XSPerfAccumulate("s0_stall_out",                 s0_valid && !s0_can_go)
144814a67055Ssfencevma  XSPerfAccumulate("s0_stall_dcache",              s0_valid && !io.dcache.req.ready)
1449cd2ff98bShappy-lx  XSPerfAccumulate("s0_addr_spec_success",         s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12))
1450cd2ff98bShappy-lx  XSPerfAccumulate("s0_addr_spec_failed",          s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12))
1451cd2ff98bShappy-lx  XSPerfAccumulate("s0_addr_spec_success_once",    s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) === io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1452cd2ff98bShappy-lx  XSPerfAccumulate("s0_addr_spec_failed_once",     s0_fire && s0_sel_src.vaddr(VAddrBits-1, 12) =/= io.ldin.bits.src(0)(VAddrBits-1, 12) && s0_sel_src.isFirstIssue)
1453b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_vec_addr_vlen_aligned",     s0_fire && s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) === 0.U)
1454b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_vec_addr_vlen_unaligned",   s0_fire && s0_sel_src.isvec && s0_sel_src.vaddr(3, 0) =/= 0.U)
14551b027d07Ssfencevma  XSPerfAccumulate("s0_forward_tl_d_channel",      s0_out.forward_tlDchannel)
14561b027d07Ssfencevma  XSPerfAccumulate("s0_hardware_prefetch_fire",    s0_fire && s0_hw_prf_select)
1457cd2ff98bShappy-lx  XSPerfAccumulate("s0_software_prefetch_fire",    s0_fire && s0_sel_src.prf && s0_int_iss_select)
14581b027d07Ssfencevma  XSPerfAccumulate("s0_hardware_prefetch_blocked", io.prefetch_req.valid && !s0_hw_prf_select)
14591b027d07Ssfencevma  XSPerfAccumulate("s0_hardware_prefetch_total",   io.prefetch_req.valid)
146014a67055Ssfencevma
14611b027d07Ssfencevma  XSPerfAccumulate("s1_in_valid",                  s1_valid)
14621b027d07Ssfencevma  XSPerfAccumulate("s1_in_fire",                   s1_fire)
14631b027d07Ssfencevma  XSPerfAccumulate("s1_in_fire_first_issue",       s1_fire && s1_in.isFirstIssue)
14641b027d07Ssfencevma  XSPerfAccumulate("s1_tlb_miss",                  s1_fire && s1_tlb_miss)
14651b027d07Ssfencevma  XSPerfAccumulate("s1_tlb_miss_first_issue",      s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
146614a67055Ssfencevma  XSPerfAccumulate("s1_stall_out",                 s1_valid && !s1_can_go)
1467cd2ff98bShappy-lx  XSPerfAccumulate("s1_dly_err",                   s1_valid && s1_fast_rep_dly_err)
146814a67055Ssfencevma
14691b027d07Ssfencevma  XSPerfAccumulate("s2_in_valid",                  s2_valid)
14701b027d07Ssfencevma  XSPerfAccumulate("s2_in_fire",                   s2_fire)
14711b027d07Ssfencevma  XSPerfAccumulate("s2_in_fire_first_issue",       s2_fire && s2_in.isFirstIssue)
1472e50f3145Ssfencevma  XSPerfAccumulate("s2_dcache_miss",               s2_fire && io.dcache.resp.bits.miss)
1473e50f3145Ssfencevma  XSPerfAccumulate("s2_dcache_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
1474257f9711Shappy-lx  XSPerfAccumulate("s2_dcache_real_miss_first_issue",   s2_fire && io.dcache.resp.bits.miss && s2_in.isFirstIssue)
14751b027d07Ssfencevma  XSPerfAccumulate("s2_full_forward",              s2_fire && s2_full_fwd)
1476e50f3145Ssfencevma  XSPerfAccumulate("s2_dcache_miss_full_forward",  s2_fire && s2_dcache_miss)
1477e50f3145Ssfencevma  XSPerfAccumulate("s2_fwd_frm_d_can",             s2_valid && s2_fwd_frm_d_chan)
1478e50f3145Ssfencevma  XSPerfAccumulate("s2_fwd_frm_d_chan_or_mshr",    s2_valid && s2_fwd_frm_d_chan_or_mshr)
147914a67055Ssfencevma  XSPerfAccumulate("s2_stall_out",                 s2_fire && !s2_can_go)
14801b027d07Ssfencevma  XSPerfAccumulate("s2_prefetch",                  s2_fire && s2_prf)
1481e50f3145Ssfencevma  XSPerfAccumulate("s2_prefetch_ignored",          s2_fire && s2_prf && s2_mq_nack) // ignore prefetch for mshr full / miss req port conflict
1482e50f3145Ssfencevma  XSPerfAccumulate("s2_prefetch_miss",             s2_fire && s2_prf && io.dcache.resp.bits.miss) // prefetch req miss in l1
1483e50f3145Ssfencevma  XSPerfAccumulate("s2_prefetch_hit",              s2_fire && s2_prf && !io.dcache.resp.bits.miss) // prefetch req hit in l1
1484e50f3145Ssfencevma  XSPerfAccumulate("s2_prefetch_accept",           s2_fire && s2_prf && io.dcache.resp.bits.miss && !s2_mq_nack) // prefetch a missed line in l1, and l1 accepted it
1485a11e9ab9Shappy-lx  XSPerfAccumulate("s2_forward_req",               s2_fire && s2_in.forward_tlDchannel)
1486a11e9ab9Shappy-lx  XSPerfAccumulate("s2_successfully_forward_channel_D", s2_fire && s2_fwd_frm_d_chan && s2_fwd_data_valid)
1487a11e9ab9Shappy-lx  XSPerfAccumulate("s2_successfully_forward_mshr",      s2_fire && s2_fwd_frm_mshr && s2_fwd_data_valid)
148814a67055Ssfencevma
1489e50f3145Ssfencevma  XSPerfAccumulate("s3_fwd_frm_d_chan",            s3_valid && s3_fwd_frm_d_chan_valid)
149014a67055Ssfencevma
149114a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward",                      s1_try_ptr_chasing && !s1_ptr_chasing_canceled)
149214a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_try",                  s1_try_ptr_chasing)
149314a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail",                 s1_cancel_ptr_chasing)
149414a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail_cancelled",       s1_cancel_ptr_chasing && s1_ptr_chasing_canceled)
149514a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail_wakeup_mismatch", s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && s1_not_fast_match)
149614a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail_op_not_ld",       s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && s1_fu_op_type_not_ld)
149714a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail_addr_align",      s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && s1_addr_misaligned)
149814a67055Ssfencevma  XSPerfAccumulate("load_to_load_forward_fail_set_mismatch",    s1_cancel_ptr_chasing && !s1_ptr_chasing_canceled && !s1_not_fast_match && !s1_fu_op_type_not_ld && !s1_addr_misaligned && s1_addr_mismatch)
1499d2b20d1aSTang Haojin
15008744445eSMaxpicca-Li  // bug lyq: some signals in perfEvents are no longer suitable for the current MemBlock design
1501b52348aeSWilliam Wang  // hardware performance counter
1502cd365d4cSrvcoresjw  val perfEvents = Seq(
150314a67055Ssfencevma    ("load_s0_in_fire         ", s0_fire                                                        ),
150414a67055Ssfencevma    ("load_to_load_forward    ", s1_fire && s1_try_ptr_chasing && !s1_ptr_chasing_canceled      ),
150514a67055Ssfencevma    ("stall_dcache            ", s0_valid && s0_can_go && !io.dcache.req.ready                  ),
150614a67055Ssfencevma    ("load_s1_in_fire         ", s0_fire                                                        ),
150714a67055Ssfencevma    ("load_s1_tlb_miss        ", s1_fire && io.tlb.resp.bits.miss                               ),
150814a67055Ssfencevma    ("load_s2_in_fire         ", s1_fire                                                        ),
150914a67055Ssfencevma    ("load_s2_dcache_miss     ", s2_fire && io.dcache.resp.bits.miss                            ),
1510cd365d4cSrvcoresjw  )
15111ca0e4f3SYinan Xu  generatePerfEvent()
1512cd365d4cSrvcoresjw
151314a67055Ssfencevma  when(io.ldout.fire){
1514870f462dSXuan Hu    XSDebug("ldout %x\n", io.ldout.bits.uop.pc)
1515c5c06e78SWilliam Wang  }
151614a67055Ssfencevma  // end
1517024ee227SWilliam Wang}