1024ee227SWilliam Wangpackage xiangshan.mem 2024ee227SWilliam Wang 3024ee227SWilliam Wangimport chisel3._ 4024ee227SWilliam Wangimport chisel3.util._ 5024ee227SWilliam Wangimport utils._ 6024ee227SWilliam Wangimport xiangshan._ 779460b79SLinJiaweiimport xiangshan.backend.decode.ImmUnion 81279060fSWilliam Wangimport xiangshan.cache._ 91279060fSWilliam Wang// import xiangshan.cache.{DCacheWordIO, TlbRequestIO, TlbCmd, MemoryOpConstants, TlbReq, DCacheLoadReq, DCacheWordResp} 10024ee227SWilliam Wangimport xiangshan.backend.LSUOpType 11024ee227SWilliam Wang 120bd67ba5SYinan Xuclass LoadToLsqIO extends XSBundle { 13024ee227SWilliam Wang val loadIn = ValidIO(new LsPipelineBundle) 14024ee227SWilliam Wang val ldout = Flipped(DecoupledIO(new ExuOutput)) 155830ba4fSWilliam Wang val loadDataForwarded = Output(Bool()) 16024ee227SWilliam Wang val forward = new LoadForwardQueryIO 17024ee227SWilliam Wang} 18024ee227SWilliam Wang 197962cc88SWilliam Wang// Load Pipeline Stage 0 207962cc88SWilliam Wang// Generate addr, use addr to query DCache and DTLB 217962cc88SWilliam Wangclass LoadUnit_S0 extends XSModule { 22024ee227SWilliam Wang val io = IO(new Bundle() { 237962cc88SWilliam Wang val in = Flipped(Decoupled(new ExuInput)) 247962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 250cab60cbSZhangZifei val dtlbReq = DecoupledIO(new TlbReq) 266e9ed841SAllen val dcacheReq = DecoupledIO(new DCacheWordReq) 27024ee227SWilliam Wang }) 28024ee227SWilliam Wang 297962cc88SWilliam Wang val s0_uop = io.in.bits.uop 305759cf1dSWilliam Wang val s0_vaddr = io.in.bits.src1 + SignExt(s0_uop.ctrl.imm(11,0), VAddrBits) 315759cf1dSWilliam Wang // val s0_vaddr_old = io.in.bits.src1 + SignExt(ImmUnion.I.toImm32(s0_uop.ctrl.imm), XLEN) 325759cf1dSWilliam Wang // val imm12 = WireInit(s0_uop.ctrl.imm(11,0)) 335759cf1dSWilliam Wang // val s0_vaddr_lo = io.in.bits.src1(11,0) + Cat(0.U(1.W), imm12) 345759cf1dSWilliam Wang // val s0_vaddr_hi = Mux(imm12(11), 355759cf1dSWilliam Wang // Mux((s0_vaddr_lo(12)), io.in.bits.src1(VAddrBits-1, 12), io.in.bits.src1(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12)), 365759cf1dSWilliam Wang // Mux((s0_vaddr_lo(12)), io.in.bits.src1(VAddrBits-1, 12)+1.U, io.in.bits.src1(VAddrBits-1, 12)) 375759cf1dSWilliam Wang // ) 385759cf1dSWilliam Wang // val s0_vaddr = Cat(s0_vaddr_hi, s0_vaddr_lo(11,0)) 395759cf1dSWilliam Wang // when(io.in.fire() && s0_vaddr(VAddrBits-1,0) =/= (io.in.bits.src1 + SignExt(ImmUnion.I.toImm32(s0_uop.ctrl.imm), XLEN))(VAddrBits-1,0)){ 405759cf1dSWilliam Wang // printf("s0_vaddr %x s0_vaddr_old %x\n", s0_vaddr, s0_vaddr_old(VAddrBits-1,0)) 415759cf1dSWilliam Wang // } 425759cf1dSWilliam Wang val s0_mask = genWmask(s0_vaddr, s0_uop.ctrl.fuOpType(1,0)) 43024ee227SWilliam Wang 447962cc88SWilliam Wang // query DTLB 45d0f66e88SYinan Xu io.dtlbReq.valid := io.in.valid 461279060fSWilliam Wang io.dtlbReq.bits.vaddr := s0_vaddr 471279060fSWilliam Wang io.dtlbReq.bits.cmd := TlbCmd.read 481279060fSWilliam Wang io.dtlbReq.bits.roqIdx := s0_uop.roqIdx 491279060fSWilliam Wang io.dtlbReq.bits.debug.pc := s0_uop.cf.pc 50024ee227SWilliam Wang 517962cc88SWilliam Wang // query DCache 52d0f66e88SYinan Xu io.dcacheReq.valid := io.in.valid 531279060fSWilliam Wang io.dcacheReq.bits.cmd := MemoryOpConstants.M_XRD 541279060fSWilliam Wang io.dcacheReq.bits.addr := s0_vaddr 551279060fSWilliam Wang io.dcacheReq.bits.mask := s0_mask 5659a40467SWilliam Wang io.dcacheReq.bits.data := DontCare 57024ee227SWilliam Wang 5859a40467SWilliam Wang // TODO: update cache meta 5959a40467SWilliam Wang io.dcacheReq.bits.meta.id := DontCare 6059a40467SWilliam Wang io.dcacheReq.bits.meta.vaddr := s0_vaddr 6159a40467SWilliam Wang io.dcacheReq.bits.meta.paddr := DontCare 6259a40467SWilliam Wang io.dcacheReq.bits.meta.uop := s0_uop 6359a40467SWilliam Wang io.dcacheReq.bits.meta.mmio := false.B 6459a40467SWilliam Wang io.dcacheReq.bits.meta.tlb_miss := false.B 6559a40467SWilliam Wang io.dcacheReq.bits.meta.mask := s0_mask 6659a40467SWilliam Wang io.dcacheReq.bits.meta.replay := false.B 67024ee227SWilliam Wang 687962cc88SWilliam Wang val addrAligned = LookupTree(s0_uop.ctrl.fuOpType(1, 0), List( 69024ee227SWilliam Wang "b00".U -> true.B, //b 707962cc88SWilliam Wang "b01".U -> (s0_vaddr(0) === 0.U), //h 717962cc88SWilliam Wang "b10".U -> (s0_vaddr(1, 0) === 0.U), //w 727962cc88SWilliam Wang "b11".U -> (s0_vaddr(2, 0) === 0.U) //d 73024ee227SWilliam Wang )) 74024ee227SWilliam Wang 751a51d1d9SYinan Xu io.out.valid := io.in.valid && io.dcacheReq.ready 76d0f66e88SYinan Xu 777962cc88SWilliam Wang io.out.bits := DontCare 787962cc88SWilliam Wang io.out.bits.vaddr := s0_vaddr 797962cc88SWilliam Wang io.out.bits.mask := s0_mask 807962cc88SWilliam Wang io.out.bits.uop := s0_uop 817962cc88SWilliam Wang io.out.bits.uop.cf.exceptionVec(loadAddrMisaligned) := !addrAligned 82024ee227SWilliam Wang 83d0f66e88SYinan Xu io.in.ready := !io.in.valid || (io.out.ready && io.dcacheReq.ready) 84024ee227SWilliam Wang 85d0f66e88SYinan Xu XSDebug(io.dcacheReq.fire(), 86bcc55f84SYinan Xu p"[DCACHE LOAD REQ] pc ${Hexadecimal(s0_uop.cf.pc)}, vaddr ${Hexadecimal(s0_vaddr)}\n" 873dbae6f8SYinan Xu ) 887962cc88SWilliam Wang} 89024ee227SWilliam Wang 907962cc88SWilliam Wang 917962cc88SWilliam Wang// Load Pipeline Stage 1 927962cc88SWilliam Wang// TLB resp (send paddr to dcache) 937962cc88SWilliam Wangclass LoadUnit_S1 extends XSModule { 947962cc88SWilliam Wang val io = IO(new Bundle() { 957962cc88SWilliam Wang val in = Flipped(Decoupled(new LsPipelineBundle)) 967962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 97bcc55f84SYinan Xu val dtlbResp = Flipped(DecoupledIO(new TlbResp)) 98bcc55f84SYinan Xu val dcachePAddr = Output(UInt(PAddrBits.W)) 99d21b1759SYinan Xu val dcacheKill = Output(Bool()) 1002e36e3b7SWilliam Wang val sbuffer = new LoadForwardQueryIO 1010bd67ba5SYinan Xu val lsq = new LoadForwardQueryIO 1027962cc88SWilliam Wang }) 1037962cc88SWilliam Wang 1047962cc88SWilliam Wang val s1_uop = io.in.bits.uop 105bcc55f84SYinan Xu val s1_paddr = io.dtlbResp.bits.paddr 106baf8def6SYinan Xu val s1_exception = selectLoad(io.out.bits.uop.cf.exceptionVec, false).asUInt.orR 107bcc55f84SYinan Xu val s1_tlb_miss = io.dtlbResp.bits.miss 108cff68e26SWilliam Wang val s1_mmio = !s1_tlb_miss && io.dtlbResp.bits.mmio 1092e36e3b7SWilliam Wang val s1_mask = io.in.bits.mask 1107962cc88SWilliam Wang 1112e36e3b7SWilliam Wang io.out.bits := io.in.bits // forwardXX field will be updated in s1 112bcc55f84SYinan Xu 113bcc55f84SYinan Xu io.dtlbResp.ready := true.B 114bcc55f84SYinan Xu 1158005392cSYinan Xu // TOOD: PMA check 116bcc55f84SYinan Xu io.dcachePAddr := s1_paddr 1178005392cSYinan Xu io.dcacheKill := s1_tlb_miss || s1_exception || s1_mmio 1187962cc88SWilliam Wang 1192e36e3b7SWilliam Wang // load forward query datapath 1202e36e3b7SWilliam Wang io.sbuffer.valid := io.in.valid 1212e36e3b7SWilliam Wang io.sbuffer.paddr := s1_paddr 1222e36e3b7SWilliam Wang io.sbuffer.uop := s1_uop 1232e36e3b7SWilliam Wang io.sbuffer.sqIdx := s1_uop.sqIdx 1242e36e3b7SWilliam Wang io.sbuffer.mask := s1_mask 1252e36e3b7SWilliam Wang io.sbuffer.pc := s1_uop.cf.pc // FIXME: remove it 1262e36e3b7SWilliam Wang 1270bd67ba5SYinan Xu io.lsq.valid := io.in.valid 1280bd67ba5SYinan Xu io.lsq.paddr := s1_paddr 1290bd67ba5SYinan Xu io.lsq.uop := s1_uop 1300bd67ba5SYinan Xu io.lsq.sqIdx := s1_uop.sqIdx 1310bd67ba5SYinan Xu io.lsq.mask := s1_mask 1320bd67ba5SYinan Xu io.lsq.pc := s1_uop.cf.pc // FIXME: remove it 1332e36e3b7SWilliam Wang 134d21b1759SYinan Xu io.out.valid := io.in.valid// && !s1_tlb_miss 1357962cc88SWilliam Wang io.out.bits.paddr := s1_paddr 1368005392cSYinan Xu io.out.bits.mmio := s1_mmio && !s1_exception 13759a40467SWilliam Wang io.out.bits.tlbMiss := s1_tlb_miss 138bcc55f84SYinan Xu io.out.bits.uop.cf.exceptionVec(loadPageFault) := io.dtlbResp.bits.excp.pf.ld 139cff68e26SWilliam Wang io.out.bits.uop.cf.exceptionVec(loadAccessFault) := io.dtlbResp.bits.excp.af.ld 1407962cc88SWilliam Wang 141d0f66e88SYinan Xu io.in.ready := !io.in.valid || io.out.ready 1427962cc88SWilliam Wang 1437962cc88SWilliam Wang} 1447962cc88SWilliam Wang 1457962cc88SWilliam Wang 1467962cc88SWilliam Wang// Load Pipeline Stage 2 1477962cc88SWilliam Wang// DCache resp 148579b9f28SLinJiaweiclass LoadUnit_S2 extends XSModule with HasLoadHelper { 1497962cc88SWilliam Wang val io = IO(new Bundle() { 1507962cc88SWilliam Wang val in = Flipped(Decoupled(new LsPipelineBundle)) 1517962cc88SWilliam Wang val out = Decoupled(new LsPipelineBundle) 152d21b1759SYinan Xu val tlbFeedback = ValidIO(new TlbFeedback) 1531279060fSWilliam Wang val dcacheResp = Flipped(DecoupledIO(new DCacheWordResp)) 154b3084e27SWilliam Wang val lsq = new LoadForwardQueryIO 155995f167cSYinan Xu val sbuffer = new LoadForwardQueryIO 1565830ba4fSWilliam Wang val dataForwarded = Output(Bool()) 1577962cc88SWilliam Wang }) 1587962cc88SWilliam Wang 1597962cc88SWilliam Wang val s2_uop = io.in.bits.uop 1607962cc88SWilliam Wang val s2_mask = io.in.bits.mask 1617962cc88SWilliam Wang val s2_paddr = io.in.bits.paddr 162d21b1759SYinan Xu val s2_tlb_miss = io.in.bits.tlbMiss 1638005392cSYinan Xu val s2_mmio = io.in.bits.mmio 164baf8def6SYinan Xu val s2_exception = selectLoad(io.in.bits.uop.cf.exceptionVec, false).asUInt.orR 1651279060fSWilliam Wang val s2_cache_miss = io.dcacheResp.bits.miss 1666e9ed841SAllen val s2_cache_replay = io.dcacheResp.bits.replay 1677962cc88SWilliam Wang 1681279060fSWilliam Wang io.dcacheResp.ready := true.B 1698005392cSYinan Xu val dcacheShouldResp = !(s2_tlb_miss || s2_exception || s2_mmio) 1708005392cSYinan Xu assert(!(io.in.valid && dcacheShouldResp && !io.dcacheResp.valid), "DCache response got lost") 1717962cc88SWilliam Wang 172d21b1759SYinan Xu // feedback tlb result to RS 173d21b1759SYinan Xu io.tlbFeedback.valid := io.in.valid 174c98c0043SYinan Xu io.tlbFeedback.bits.hit := !s2_tlb_miss && (!s2_cache_replay || s2_mmio) 175d21b1759SYinan Xu io.tlbFeedback.bits.roqIdx := s2_uop.roqIdx 176d21b1759SYinan Xu 17750f5ed78SWilliam Wang // merge forward result 17850f5ed78SWilliam Wang // lsq has higher priority than sbuffer 17950f5ed78SWilliam Wang val forwardMask = Wire(Vec(8, Bool())) 18050f5ed78SWilliam Wang val forwardData = Wire(Vec(8, UInt(8.W))) 18150f5ed78SWilliam Wang 1827962cc88SWilliam Wang val fullForward = (~forwardMask.asUInt & s2_mask) === 0.U 18350f5ed78SWilliam Wang io.lsq := DontCare 18450f5ed78SWilliam Wang io.sbuffer := DontCare 18550f5ed78SWilliam Wang 18650f5ed78SWilliam Wang // generate XLEN/8 Muxs 18750f5ed78SWilliam Wang for (i <- 0 until XLEN / 8) { 18850f5ed78SWilliam Wang forwardMask(i) := io.lsq.forwardMask(i) || io.sbuffer.forwardMask(i) 18950f5ed78SWilliam Wang forwardData(i) := Mux(io.lsq.forwardMask(i), io.lsq.forwardData(i), io.sbuffer.forwardData(i)) 19050f5ed78SWilliam Wang } 191024ee227SWilliam Wang 192b3084e27SWilliam Wang XSDebug(io.out.fire(), "[FWD LOAD RESP] pc %x fwd %x(%b) + %x(%b)\n", 193b3084e27SWilliam Wang s2_uop.cf.pc, 194b3084e27SWilliam Wang io.lsq.forwardData.asUInt, io.lsq.forwardMask.asUInt, 195b3084e27SWilliam Wang io.in.bits.forwardData.asUInt, io.in.bits.forwardMask.asUInt 196b3084e27SWilliam Wang ) 197b3084e27SWilliam Wang 198024ee227SWilliam Wang // data merge 19950f5ed78SWilliam Wang val rdataVec = VecInit((0 until XLEN / 8).map(j => 20050f5ed78SWilliam Wang Mux(forwardMask(j), forwardData(j), io.dcacheResp.bits.data(8*(j+1)-1, 8*j)))) 20150f5ed78SWilliam Wang val rdata = rdataVec.asUInt 2027962cc88SWilliam Wang val rdataSel = LookupTree(s2_paddr(2, 0), List( 203024ee227SWilliam Wang "b000".U -> rdata(63, 0), 204024ee227SWilliam Wang "b001".U -> rdata(63, 8), 205024ee227SWilliam Wang "b010".U -> rdata(63, 16), 206024ee227SWilliam Wang "b011".U -> rdata(63, 24), 207024ee227SWilliam Wang "b100".U -> rdata(63, 32), 208024ee227SWilliam Wang "b101".U -> rdata(63, 40), 209024ee227SWilliam Wang "b110".U -> rdata(63, 48), 210024ee227SWilliam Wang "b111".U -> rdata(63, 56) 211024ee227SWilliam Wang )) 212579b9f28SLinJiawei val rdataPartialLoad = rdataHelper(s2_uop, rdataSel) 213024ee227SWilliam Wang 214*e36b28e8SWilliam Wang io.out.valid := io.in.valid && !s2_tlb_miss 2150bd67ba5SYinan Xu // Inst will be canceled in store queue / lsq, 216dd1ffd4dSWilliam Wang // so we do not need to care about flush in load / store unit's out.valid 2177962cc88SWilliam Wang io.out.bits := io.in.bits 2187962cc88SWilliam Wang io.out.bits.data := rdataPartialLoad 21926a692b9SYinan Xu // when exception occurs, set it to not miss and let it write back to roq (via int port) 2205830ba4fSWilliam Wang io.out.bits.miss := s2_cache_miss && !s2_exception 22126a692b9SYinan Xu io.out.bits.uop.ctrl.fpWen := io.in.bits.uop.ctrl.fpWen && !s2_exception 2222c671545SYinan Xu io.out.bits.mmio := s2_mmio 2237962cc88SWilliam Wang 2245830ba4fSWilliam Wang // For timing reasons, we can not let 2255830ba4fSWilliam Wang // io.out.bits.miss := s2_cache_miss && !s2_exception && !fullForward 2265830ba4fSWilliam Wang // We use io.dataForwarded instead. It means forward logic have prepared all data needed, 2275830ba4fSWilliam Wang // and dcache query is no longer needed. 2285830ba4fSWilliam Wang // Such inst will be writebacked from load queue. 2295830ba4fSWilliam Wang io.dataForwarded := s2_cache_miss && fullForward && !s2_exception 23050f5ed78SWilliam Wang // io.out.bits.forwardX will be send to lq 23150f5ed78SWilliam Wang io.out.bits.forwardMask := forwardMask 23250f5ed78SWilliam Wang // data retbrived from dcache is also included in io.out.bits.forwardData 23350f5ed78SWilliam Wang io.out.bits.forwardData := rdataVec 2345830ba4fSWilliam Wang 2357962cc88SWilliam Wang io.in.ready := io.out.ready || !io.in.valid 2367962cc88SWilliam Wang 2372e36e3b7SWilliam Wang XSDebug(io.out.fire(), "[DCACHE LOAD RESP] pc %x rdata %x <- D$ %x + fwd %x(%b)\n", 238d5ea289eSWilliam Wang s2_uop.cf.pc, rdataPartialLoad, io.dcacheResp.bits.data, 23950f5ed78SWilliam Wang forwardData.asUInt, forwardMask.asUInt 240024ee227SWilliam Wang ) 2417962cc88SWilliam Wang} 2427962cc88SWilliam Wang 24303a91a79SWilliam Wangclass LoadUnit extends XSModule with HasLoadHelper { 244024ee227SWilliam Wang val io = IO(new Bundle() { 245024ee227SWilliam Wang val ldin = Flipped(Decoupled(new ExuInput)) 246024ee227SWilliam Wang val ldout = Decoupled(new ExuOutput) 247c5c06e78SWilliam Wang val fpout = Decoupled(new ExuOutput) 248024ee227SWilliam Wang val redirect = Flipped(ValidIO(new Redirect)) 249024ee227SWilliam Wang val tlbFeedback = ValidIO(new TlbFeedback) 2501279060fSWilliam Wang val dcache = new DCacheLoadIO 251024ee227SWilliam Wang val dtlb = new TlbRequestIO() 252024ee227SWilliam Wang val sbuffer = new LoadForwardQueryIO 2530bd67ba5SYinan Xu val lsq = new LoadToLsqIO 254024ee227SWilliam Wang }) 255024ee227SWilliam Wang 2567962cc88SWilliam Wang val load_s0 = Module(new LoadUnit_S0) 2577962cc88SWilliam Wang val load_s1 = Module(new LoadUnit_S1) 2587962cc88SWilliam Wang val load_s2 = Module(new LoadUnit_S2) 259024ee227SWilliam Wang 2607962cc88SWilliam Wang load_s0.io.in <> io.ldin 2611279060fSWilliam Wang load_s0.io.dtlbReq <> io.dtlb.req 2621279060fSWilliam Wang load_s0.io.dcacheReq <> io.dcache.req 263024ee227SWilliam Wang 2641a51d1d9SYinan Xu PipelineConnect(load_s0.io.out, load_s1.io.in, true.B, load_s0.io.out.bits.uop.roqIdx.needFlush(io.redirect)) 265024ee227SWilliam Wang 266bcc55f84SYinan Xu load_s1.io.dtlbResp <> io.dtlb.resp 267bcc55f84SYinan Xu io.dcache.s1_paddr <> load_s1.io.dcachePAddr 268d21b1759SYinan Xu io.dcache.s1_kill <> load_s1.io.dcacheKill 269d0f66e88SYinan Xu load_s1.io.sbuffer <> io.sbuffer 270d0f66e88SYinan Xu load_s1.io.lsq <> io.lsq.forward 271024ee227SWilliam Wang 2721a51d1d9SYinan Xu PipelineConnect(load_s1.io.out, load_s2.io.in, true.B, load_s1.io.out.bits.uop.roqIdx.needFlush(io.redirect)) 273024ee227SWilliam Wang 2741279060fSWilliam Wang load_s2.io.dcacheResp <> io.dcache.resp 275b3084e27SWilliam Wang load_s2.io.lsq.forwardData <> io.lsq.forward.forwardData 276b3084e27SWilliam Wang load_s2.io.lsq.forwardMask <> io.lsq.forward.forwardMask 277995f167cSYinan Xu load_s2.io.sbuffer.forwardData <> io.sbuffer.forwardData 278995f167cSYinan Xu load_s2.io.sbuffer.forwardMask <> io.sbuffer.forwardMask 2795830ba4fSWilliam Wang load_s2.io.dataForwarded <> io.lsq.loadDataForwarded 280*e36b28e8SWilliam Wang io.tlbFeedback.bits := RegNext(load_s2.io.tlbFeedback.bits) 281*e36b28e8SWilliam Wang io.tlbFeedback.valid := RegNext(load_s2.io.tlbFeedback.valid) && !load_s2.io.out.bits.uop.roqIdx.needFlush(io.redirect) 282024ee227SWilliam Wang 2837962cc88SWilliam Wang XSDebug(load_s0.io.out.valid, 28448ae2f92SWilliam Wang p"S0: pc ${Hexadecimal(load_s0.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s0.io.out.bits.uop.lqIdx.asUInt)}, " + 2857962cc88SWilliam Wang p"vaddr ${Hexadecimal(load_s0.io.out.bits.vaddr)}, mask ${Hexadecimal(load_s0.io.out.bits.mask)}\n") 2867962cc88SWilliam Wang XSDebug(load_s1.io.out.valid, 28748ae2f92SWilliam Wang p"S1: pc ${Hexadecimal(load_s1.io.out.bits.uop.cf.pc)}, lId ${Hexadecimal(load_s1.io.out.bits.uop.lqIdx.asUInt)}, tlb_miss ${io.dtlb.resp.bits.miss}, " + 28806c91a3dSWilliam Wang p"paddr ${Hexadecimal(load_s1.io.out.bits.paddr)}, mmio ${load_s1.io.out.bits.mmio}\n") 289024ee227SWilliam Wang 2900bd67ba5SYinan Xu // writeback to LSQ 291024ee227SWilliam Wang // Current dcache use MSHR 292c5c06e78SWilliam Wang // Load queue will be updated at s2 for both hit/miss int/fp load 2930bd67ba5SYinan Xu io.lsq.loadIn.valid := load_s2.io.out.valid 2940bd67ba5SYinan Xu io.lsq.loadIn.bits := load_s2.io.out.bits 29526a692b9SYinan Xu 29626a692b9SYinan Xu // write to rob and writeback bus 29726a692b9SYinan Xu val s2_wb_valid = load_s2.io.out.valid && !load_s2.io.out.bits.miss 29803a91a79SWilliam Wang val refillFpLoad = io.lsq.ldout.bits.uop.ctrl.fpWen 299024ee227SWilliam Wang 300c5c06e78SWilliam Wang // Int load, if hit, will be writebacked at s2 30103a91a79SWilliam Wang val intHitLoadOut = Wire(Valid(new ExuOutput)) 30226a692b9SYinan Xu intHitLoadOut.valid := s2_wb_valid && !load_s2.io.out.bits.uop.ctrl.fpWen 30303a91a79SWilliam Wang intHitLoadOut.bits.uop := load_s2.io.out.bits.uop 30403a91a79SWilliam Wang intHitLoadOut.bits.data := load_s2.io.out.bits.data 30503a91a79SWilliam Wang intHitLoadOut.bits.redirectValid := false.B 30603a91a79SWilliam Wang intHitLoadOut.bits.redirect := DontCare 30703a91a79SWilliam Wang intHitLoadOut.bits.brUpdate := DontCare 30803a91a79SWilliam Wang intHitLoadOut.bits.debug.isMMIO := load_s2.io.out.bits.mmio 3098635f18fSwangkaifan intHitLoadOut.bits.debug.isPerfCnt := false.B 31003a91a79SWilliam Wang intHitLoadOut.bits.fflags := DontCare 311024ee227SWilliam Wang 3127962cc88SWilliam Wang load_s2.io.out.ready := true.B 313c5c06e78SWilliam Wang 31403a91a79SWilliam Wang io.ldout.bits := Mux(intHitLoadOut.valid, intHitLoadOut.bits, io.lsq.ldout.bits) 31503a91a79SWilliam Wang io.ldout.valid := intHitLoadOut.valid || io.lsq.ldout.valid && !refillFpLoad 316c5c06e78SWilliam Wang 3173aa23fecSWilliam Wang // Fp load, if hit, will be stored to reg at s2, then it will be recoded at s3, writebacked at s4 31803a91a79SWilliam Wang val fpHitLoadOut = Wire(Valid(new ExuOutput)) 31926a692b9SYinan Xu fpHitLoadOut.valid := s2_wb_valid && load_s2.io.out.bits.uop.ctrl.fpWen 32003a91a79SWilliam Wang fpHitLoadOut.bits := intHitLoadOut.bits 32103a91a79SWilliam Wang 3223aa23fecSWilliam Wang val fpLoadUnRecodedReg = Reg(Valid(new ExuOutput)) 3233aa23fecSWilliam Wang fpLoadUnRecodedReg.valid := fpHitLoadOut.valid || io.lsq.ldout.valid && refillFpLoad 3243aa23fecSWilliam Wang when(fpHitLoadOut.valid || io.lsq.ldout.valid && refillFpLoad){ 3253aa23fecSWilliam Wang fpLoadUnRecodedReg.bits := Mux(fpHitLoadOut.valid, fpHitLoadOut.bits, io.lsq.ldout.bits) 3263aa23fecSWilliam Wang } 32703a91a79SWilliam Wang 3283aa23fecSWilliam Wang val fpLoadRecodedReg = Reg(Valid(new ExuOutput)) 3293aa23fecSWilliam Wang when(fpLoadUnRecodedReg.valid){ 3303aa23fecSWilliam Wang fpLoadRecodedReg := fpLoadUnRecodedReg 3313aa23fecSWilliam Wang fpLoadRecodedReg.bits.data := fpRdataHelper(fpLoadUnRecodedReg.bits.uop, fpLoadUnRecodedReg.bits.data) // recode 3323aa23fecSWilliam Wang } 3333aa23fecSWilliam Wang fpLoadRecodedReg.valid := fpLoadUnRecodedReg.valid 3343aa23fecSWilliam Wang 3353aa23fecSWilliam Wang io.fpout.bits := fpLoadRecodedReg.bits 3363aa23fecSWilliam Wang io.fpout.valid := fpLoadRecodedReg.valid 33703a91a79SWilliam Wang 338c3d4d93eSZhangfw io.lsq.ldout.ready := Mux(refillFpLoad, !fpHitLoadOut.valid, !intHitLoadOut.valid) 339024ee227SWilliam Wang 340024ee227SWilliam Wang when(io.ldout.fire()){ 341c5c06e78SWilliam Wang XSDebug("ldout %x\n", io.ldout.bits.uop.cf.pc) 342c5c06e78SWilliam Wang } 343c5c06e78SWilliam Wang 344c5c06e78SWilliam Wang when(io.fpout.fire()){ 345c5c06e78SWilliam Wang XSDebug("fpout %x\n", io.fpout.bits.uop.cf.pc) 346024ee227SWilliam Wang } 347024ee227SWilliam Wang} 348