xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/StoreUnit.scala (revision 3aa632ec4e17aa6edd76053179748060772b7c65)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17024ee227SWilliam Wangpackage xiangshan.mem
18024ee227SWilliam Wang
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
20024ee227SWilliam Wangimport chisel3._
21024ee227SWilliam Wangimport chisel3.util._
22024ee227SWilliam Wangimport utils._
233c02ee8fSwakafaimport utility._
24024ee227SWilliam Wangimport xiangshan._
259e12e8edScz4eimport xiangshan.ExceptionNO._
2615471b5dSAnzoimport xiangshan.backend.Bundles.{MemExuInput, MemExuOutput, connectSamePort}
27b6982e83SLemoverimport xiangshan.backend.fu.PMPRespBundle
28870f462dSXuan Huimport xiangshan.backend.fu.FuConfig._
2958289942Szhanglinjuanimport xiangshan.backend.fu.FuType._
30870f462dSXuan Huimport xiangshan.backend.ctrlblock.DebugLsInfoBundle
3104b415dbSchengguanghuiimport xiangshan.backend.fu.NewCSR._
329e12e8edScz4eimport xiangshan.mem.Bundles._
3315471b5dSAnzoimport xiangshan.cache.mmu.{Pbmt, TlbCmd, TlbReq, TlbRequestIO, TlbResp}
3415471b5dSAnzoimport xiangshan.cache.{DCacheStoreIO, DcacheStoreRequestIO, HasDCacheParameters, MemoryOpConstants, StorePrefetchReq}
35024ee227SWilliam Wang
36dde74b27SAnzoooooclass StoreUnit(implicit p: Parameters) extends XSModule
37dde74b27SAnzooooo  with HasDCacheParameters
38dde74b27SAnzooooo  with HasVLSUParameters
39dde74b27SAnzooooo  {
40024ee227SWilliam Wang  val io = IO(new Bundle() {
41024ee227SWilliam Wang    val redirect        = Flipped(ValidIO(new Redirect))
4241d8d239Shappy-lx    val csrCtrl         = Flipped(new CustomCSRCtrlIO)
43870f462dSXuan Hu    val stin            = Flipped(Decoupled(new MemExuInput))
44870f462dSXuan Hu    val issue           = Valid(new MemExuInput)
4541d8d239Shappy-lx    // misalignBuffer issue path
4641d8d239Shappy-lx    val misalign_stin   = Flipped(Decoupled(new LsPipelineBundle))
4741d8d239Shappy-lx    val misalign_stout  = Valid(new SqWriteBundle)
48a0301c0dSLemover    val tlb             = new TlbRequestIO()
490d32f713Shappy-lx    val dcache          = new DCacheStoreIO
50ca2f90a6SLemover    val pmp             = Flipped(new PMPRespBundle())
510bd67ba5SYinan Xu    val lsq             = ValidIO(new LsPipelineBundle)
52ca2f90a6SLemover    val lsq_replenish   = Output(new LsPipelineBundle())
5314a67055Ssfencevma    val feedback_slow   = ValidIO(new RSFeedback)
540d32f713Shappy-lx    val prefetch_req    = Flipped(DecoupledIO(new StorePrefetchReq))
550d32f713Shappy-lx    // provide prefetch info to sms
5699ce5576Scz4e    val prefetch_train  = ValidIO(new LsPrefetchTrainBundle())
574ccb2e8bSYanqin Li    // speculative for gated control
584ccb2e8bSYanqin Li    val s1_prefetch_spec = Output(Bool())
5995e60337SYanqin Li    val s2_prefetch_spec = Output(Bool())
6099ce5576Scz4e    val stld_nuke_query = Valid(new StoreNukeQueryBundle)
61870f462dSXuan Hu    val stout           = DecoupledIO(new MemExuOutput) // writeback store
62b7618691Sweiding liu    val vecstout        = DecoupledIO(new VecPipelineFeedbackIO(isVStore = true))
630a992150SWilliam Wang    // store mask, send to sq in store_s0
6414a67055Ssfencevma    val st_mask_out     = Valid(new StoreMaskBundle)
658744445eSMaxpicca-Li    val debug_ls        = Output(new DebugLsInfoBundle)
6620a5248fSzhanglinjuan    // vector
6726af847eSgood-circle    val vecstin           = Flipped(Decoupled(new VecPipeBundle(isVStore = true)))
6820a5248fSzhanglinjuan    val vec_isFirstIssue  = Input(Bool())
6941d8d239Shappy-lx    // writeback to misalign buffer
704ec1f462Scz4e    val misalign_enq = new MisalignBufferEnqIO
7104b415dbSchengguanghui    // trigger
7204b415dbSchengguanghui    val fromCsrTrigger = Input(new CsrTriggerBundle)
73b240e1c0SAnzooooo
74b240e1c0SAnzooooo    val s0_s1_valid = Output(Bool())
75024ee227SWilliam Wang  })
76024ee227SWilliam Wang
771592abd1SYan Xu  PerfCCT.updateInstPos(io.stin.bits.uop.debug_seqNum, PerfCCT.InstPos.AtFU.id.U, io.stin.valid, clock, reset)
781592abd1SYan Xu
7914a67055Ssfencevma  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
80024ee227SWilliam Wang
8114a67055Ssfencevma  // Pipeline
8214a67055Ssfencevma  // --------------------------------------------------------------------------------
8314a67055Ssfencevma  // stage 0
8414a67055Ssfencevma  // --------------------------------------------------------------------------------
8514a67055Ssfencevma  // generate addr, use addr to query DCache and DTLB
860d32f713Shappy-lx  val s0_iss_valid        = io.stin.valid
870d32f713Shappy-lx  val s0_prf_valid        = io.prefetch_req.valid && io.dcache.req.ready
8820a5248fSzhanglinjuan  val s0_vec_valid        = io.vecstin.valid
8941d8d239Shappy-lx  val s0_ma_st_valid      = io.misalign_stin.valid
9041d8d239Shappy-lx  val s0_valid            = s0_iss_valid || s0_prf_valid || s0_vec_valid || s0_ma_st_valid
9141d8d239Shappy-lx  val s0_use_flow_ma      = s0_ma_st_valid
9241d8d239Shappy-lx  val s0_use_flow_vec     = s0_vec_valid && !s0_ma_st_valid
9341d8d239Shappy-lx  val s0_use_flow_rs      = s0_iss_valid && !s0_vec_valid && !s0_ma_st_valid
9441d8d239Shappy-lx  val s0_use_flow_prf     = s0_prf_valid && !s0_iss_valid && !s0_vec_valid && !s0_ma_st_valid
9541d8d239Shappy-lx  val s0_use_non_prf_flow = s0_use_flow_rs || s0_use_flow_vec || s0_use_flow_ma
9620a5248fSzhanglinjuan  val s0_stin             = Mux(s0_use_flow_rs, io.stin.bits, 0.U.asTypeOf(io.stin.bits))
9720a5248fSzhanglinjuan  val s0_vecstin          = Mux(s0_use_flow_vec, io.vecstin.bits, 0.U.asTypeOf(io.vecstin.bits))
9841d8d239Shappy-lx  val s0_uop              = Mux(
9941d8d239Shappy-lx    s0_use_flow_ma,
10041d8d239Shappy-lx    io.misalign_stin.bits.uop,
10141d8d239Shappy-lx    Mux(
10241d8d239Shappy-lx      s0_use_flow_rs,
10341d8d239Shappy-lx      s0_stin.uop,
10441d8d239Shappy-lx      s0_vecstin.uop
10541d8d239Shappy-lx    )
10641d8d239Shappy-lx  )
10741d8d239Shappy-lx  val s0_isFirstIssue = Mux(
10841d8d239Shappy-lx    s0_use_flow_ma,
10941d8d239Shappy-lx    false.B,
11041d8d239Shappy-lx    s0_use_flow_rs && io.stin.bits.isFirstIssue || s0_use_flow_vec && io.vec_isFirstIssue
11141d8d239Shappy-lx  )
11241d8d239Shappy-lx  val s0_size         = Mux(s0_use_non_prf_flow, s0_uop.fuOpType(2,0), 0.U)// may broken if use it in feature
11341d8d239Shappy-lx  val s0_mem_idx      = Mux(s0_use_non_prf_flow, s0_uop.sqIdx.value, 0.U)
11441d8d239Shappy-lx  val s0_rob_idx      = Mux(s0_use_non_prf_flow, s0_uop.robIdx, 0.U.asTypeOf(s0_uop.robIdx))
11541d8d239Shappy-lx  val s0_pc           = Mux(s0_use_non_prf_flow, s0_uop.pc, 0.U)
11641d8d239Shappy-lx  val s0_instr_type   = Mux(s0_use_non_prf_flow, STORE_SOURCE.U, DCACHE_PREFETCH_SOURCE.U)
117*3aa632ecSAnzo  val s0_wlineflag    = Mux(s0_use_flow_rs, LSUOpType.isCboAll(s0_uop.fuOpType), false.B)
11814a67055Ssfencevma  val s0_out          = Wire(new LsPipelineBundle)
11920a5248fSzhanglinjuan  val s0_kill         = s0_uop.robIdx.needFlush(io.redirect)
12014a67055Ssfencevma  val s0_can_go       = s1_ready
12114a67055Ssfencevma  val s0_fire         = s0_valid && !s0_kill && s0_can_go
122b240e1c0SAnzooooo  val s0_is128bit     = Wire(Bool())
12320a5248fSzhanglinjuan  // vector
1249ac5754fSweiding liu  val s0_vecActive    = !s0_use_flow_vec || s0_vecstin.vecActive
1253952421bSweiding liu  // val s0_flowPtr      = s0_vecstin.flowPtr
1263952421bSweiding liu  // val s0_isLastElem   = s0_vecstin.isLastElem
12726af847eSgood-circle  val s0_secondInv    = s0_vecstin.usSecondInv
1285dc0f712SAnzooooo  val s0_elemIdx      = s0_vecstin.elemIdx
1295dc0f712SAnzooooo  val s0_alignedType  = s0_vecstin.alignedType
130ebb914e7Sweiding liu  val s0_mBIndex      = s0_vecstin.mBIndex
131c0355297SAnzooooo  val s0_vecBaseVaddr = s0_vecstin.basevaddr
132b240e1c0SAnzooooo  val s0_isFinalSplit = io.misalign_stin.valid && io.misalign_stin.bits.isFinalSplit
13314a67055Ssfencevma
13414a67055Ssfencevma  // generate addr
135e7ab4635SHuijin Li  val s0_saddr = s0_stin.src(0) + SignExt(s0_stin.uop.imm(11,0), VAddrBits)
136db6cfb5aSHaoyuan Feng  val s0_fullva = Wire(UInt(XLEN.W))
137b240e1c0SAnzooooo
13820a5248fSzhanglinjuan  val s0_vaddr = Mux(
13941d8d239Shappy-lx    s0_use_flow_ma,
14041d8d239Shappy-lx    io.misalign_stin.bits.vaddr,
14141d8d239Shappy-lx    Mux(
14220a5248fSzhanglinjuan      s0_use_flow_rs,
14320a5248fSzhanglinjuan      s0_saddr,
14420a5248fSzhanglinjuan      Mux(
14520a5248fSzhanglinjuan        s0_use_flow_vec,
146db6cfb5aSHaoyuan Feng        s0_vecstin.vaddr(VAddrBits - 1, 0),
14720a5248fSzhanglinjuan        io.prefetch_req.bits.vaddr
14820a5248fSzhanglinjuan      )
14920a5248fSzhanglinjuan    )
15041d8d239Shappy-lx  )
151b240e1c0SAnzooooo
1523c808de0SAnzo  val s0_isCbo = s0_use_flow_rs && LSUOpType.isCboAll(s0_stin.uop.fuOpType)
1533c808de0SAnzo  // only simulation
1543c808de0SAnzo  val cbo_assert_flag = LSUOpType.isCboAll(s0_out.uop.fuOpType)
1553c808de0SAnzo  XSError(!s0_use_flow_rs && cbo_assert_flag && s0_valid, "cbo instruction selection error.")
1563c808de0SAnzo
1573c808de0SAnzo  val s0_alignType = Mux(s0_use_flow_vec, s0_vecstin.alignedType(1,0), s0_uop.fuOpType(1, 0))
158b240e1c0SAnzooooo  // exception check
1593c808de0SAnzo  val s0_addr_aligned = LookupTree(s0_alignType, List(
160b240e1c0SAnzooooo    "b00".U   -> true.B,              //b
161b240e1c0SAnzooooo    "b01".U   -> (s0_vaddr(0) === 0.U),   //h
162b240e1c0SAnzooooo    "b10".U   -> (s0_vaddr(1,0) === 0.U), //w
163b240e1c0SAnzooooo    "b11".U   -> (s0_vaddr(2,0) === 0.U)  //d
1643c808de0SAnzo  )) || s0_isCbo
165b240e1c0SAnzooooo  // if vector store sends 128-bit requests, its address must be 128-aligned
166b240e1c0SAnzooooo  XSError(s0_use_flow_vec && s0_vaddr(3, 0) =/= 0.U && s0_vecstin.alignedType(2), "unit stride 128 bit element is not aligned!")
167b240e1c0SAnzooooo
168b240e1c0SAnzooooo  val s0_isMisalign = Mux(s0_use_non_prf_flow, (!s0_addr_aligned || s0_vecstin.uop.exceptionVec(storeAddrMisaligned) && s0_vecActive), false.B)
169b240e1c0SAnzooooo  val s0_addr_low = s0_vaddr(4, 0)
1703c808de0SAnzo  val s0_addr_Up_low = LookupTree(s0_alignType, List(
171b240e1c0SAnzooooo    "b00".U -> 0.U,
172b240e1c0SAnzooooo    "b01".U -> 1.U,
173b240e1c0SAnzooooo    "b10".U -> 3.U,
174b240e1c0SAnzooooo    "b11".U -> 7.U
175b240e1c0SAnzooooo  )) + s0_addr_low
176b240e1c0SAnzooooo  val s0_rs_corss16Bytes = s0_addr_Up_low(4) =/= s0_addr_low(4)
177b240e1c0SAnzooooo  val s0_misalignWith16Byte = !s0_rs_corss16Bytes && !s0_addr_aligned && !s0_use_flow_prf
178b240e1c0SAnzooooo  s0_is128bit := Mux(s0_use_flow_ma, io.misalign_stin.bits.is128bit, is128Bit(s0_vecstin.alignedType) || s0_misalignWith16Byte)
179b240e1c0SAnzooooo
180db6cfb5aSHaoyuan Feng  s0_fullva := Mux(
181db6cfb5aSHaoyuan Feng    s0_use_flow_rs,
182e7ab4635SHuijin Li    s0_stin.src(0) + SignExt(s0_stin.uop.imm(11,0), XLEN),
183db6cfb5aSHaoyuan Feng    Mux(
184db6cfb5aSHaoyuan Feng      s0_use_flow_vec,
185db6cfb5aSHaoyuan Feng      s0_vecstin.vaddr,
186db6cfb5aSHaoyuan Feng      s0_vaddr
187db6cfb5aSHaoyuan Feng    )
188db6cfb5aSHaoyuan Feng  )
189db6cfb5aSHaoyuan Feng
19020a5248fSzhanglinjuan  val s0_mask = Mux(
19141d8d239Shappy-lx    s0_use_flow_ma,
19241d8d239Shappy-lx    io.misalign_stin.bits.mask,
19341d8d239Shappy-lx    Mux(
19420a5248fSzhanglinjuan      s0_use_flow_rs,
19526af847eSgood-circle      genVWmask128(s0_saddr, s0_uop.fuOpType(2,0)),
19620a5248fSzhanglinjuan      Mux(
19720a5248fSzhanglinjuan        s0_use_flow_vec,
19820a5248fSzhanglinjuan        s0_vecstin.mask,
199ac1d6523Szhanglinjuan        // -1.asSInt.asUInt
200ac1d6523Szhanglinjuan        Fill(VLEN/8, 1.U(1.W))
20120a5248fSzhanglinjuan      )
20220a5248fSzhanglinjuan    )
20341d8d239Shappy-lx  )
20414a67055Ssfencevma
20514a67055Ssfencevma  io.tlb.req.valid                   := s0_valid
2060d32f713Shappy-lx  io.tlb.req.bits.vaddr              := s0_vaddr
207db6cfb5aSHaoyuan Feng  io.tlb.req.bits.fullva             := s0_fullva
208db6cfb5aSHaoyuan Feng  io.tlb.req.bits.checkfullva        := s0_use_flow_rs || s0_use_flow_vec
20914a67055Ssfencevma  io.tlb.req.bits.cmd                := TlbCmd.write
2108a4dab4dSHaoyuan Feng  io.tlb.req.bits.isPrefetch         := s0_use_flow_prf
2110d32f713Shappy-lx  io.tlb.req.bits.size               := s0_size
2120d32f713Shappy-lx  io.tlb.req.bits.kill               := false.B
21314a67055Ssfencevma  io.tlb.req.bits.memidx.is_ld       := false.B
21414a67055Ssfencevma  io.tlb.req.bits.memidx.is_st       := true.B
2150d32f713Shappy-lx  io.tlb.req.bits.memidx.idx         := s0_mem_idx
2160d32f713Shappy-lx  io.tlb.req.bits.debug.robIdx       := s0_rob_idx
21714a67055Ssfencevma  io.tlb.req.bits.no_translate       := false.B
2180d32f713Shappy-lx  io.tlb.req.bits.debug.pc           := s0_pc
21914a67055Ssfencevma  io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue
220c3b763d0SYinan Xu  io.tlb.req_kill                    := false.B
221e25e4d90SXuan Hu  io.tlb.req.bits.hyperinst          := LSUOpType.isHsv(s0_uop.fuOpType)
2226e6c0c04Speixiaokun  io.tlb.req.bits.hlvx               := false.B
223149a2326Sweiding liu  io.tlb.req.bits.pmp_addr           := DontCare
224ad7cf467SWilliam Wang
2250d32f713Shappy-lx  // Dcache access here: not **real** dcache write
2260d32f713Shappy-lx  // just read meta and tag in dcache, to find out the store will hit or miss
2270d32f713Shappy-lx
2280d32f713Shappy-lx  // NOTE: The store request does not wait for the dcache to be ready.
2290d32f713Shappy-lx  //       If the dcache is not ready at this time, the dcache is not queried.
2300d32f713Shappy-lx  //       But, store prefetch request will always wait for dcache to be ready to make progress.
2310d32f713Shappy-lx  io.dcache.req.valid              := s0_fire
2320d32f713Shappy-lx  io.dcache.req.bits.cmd           := MemoryOpConstants.M_PFW
2330d32f713Shappy-lx  io.dcache.req.bits.vaddr         := s0_vaddr
2340d32f713Shappy-lx  io.dcache.req.bits.instrtype     := s0_instr_type
2350d32f713Shappy-lx
23614a67055Ssfencevma  s0_out              := DontCare
2370d32f713Shappy-lx  s0_out.vaddr        := s0_vaddr
238db6cfb5aSHaoyuan Feng  s0_out.fullva       := s0_fullva
23914a67055Ssfencevma  // Now data use its own io
2403952421bSweiding liu  s0_out.data         := s0_stin.src(1)
24120a5248fSzhanglinjuan  s0_out.uop          := s0_uop
2420d32f713Shappy-lx  s0_out.miss         := false.B
243b240e1c0SAnzooooo  // For unaligned, we need to generate a base-aligned mask in storeunit and then do a shift split in StoreQueue.
2443c808de0SAnzo  s0_out.mask         := Mux(s0_rs_corss16Bytes && !s0_addr_aligned, genBasemask(s0_saddr,s0_alignType(1,0)), s0_mask)
24514a67055Ssfencevma  s0_out.isFirstIssue := s0_isFirstIssue
2460d32f713Shappy-lx  s0_out.isHWPrefetch := s0_use_flow_prf
2470d32f713Shappy-lx  s0_out.wlineflag    := s0_wlineflag
24820a5248fSzhanglinjuan  s0_out.isvec        := s0_use_flow_vec
249dde74b27SAnzooooo  s0_out.is128bit     := s0_is128bit
2509ac5754fSweiding liu  s0_out.vecActive    := s0_vecActive
25126af847eSgood-circle  s0_out.usSecondInv  := s0_secondInv
2525dc0f712SAnzooooo  s0_out.elemIdx      := s0_elemIdx
2535dc0f712SAnzooooo  s0_out.alignedType  := s0_alignedType
254ebb914e7Sweiding liu  s0_out.mbIndex      := s0_mBIndex
255b240e1c0SAnzooooo  s0_out.misalignWith16Byte      := s0_misalignWith16Byte
256b240e1c0SAnzooooo  s0_out.isMisalign      := s0_isMisalign
257c0355297SAnzooooo  s0_out.vecBaseVaddr := s0_vecBaseVaddr
25814a67055Ssfencevma  when(s0_valid && s0_isFirstIssue) {
25914a67055Ssfencevma    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
26014a67055Ssfencevma  }
26141d8d239Shappy-lx  s0_out.isFrmMisAlignBuf := s0_use_flow_ma
262b240e1c0SAnzooooo  s0_out.isFinalSplit := s0_isFinalSplit
263b240e1c0SAnzooooo//  s0_out.uop.exceptionVec(storeAddrMisaligned) := Mux(s0_use_non_prf_flow, (!s0_addr_aligned || s0_vecstin.uop.exceptionVec(storeAddrMisaligned) && s0_vecActive), false.B) && !s0_misalignWith16Byte
264ca2f90a6SLemover
26583605159Sweiding liu  io.st_mask_out.valid       := s0_use_flow_rs || s0_use_flow_vec
26614a67055Ssfencevma  io.st_mask_out.bits.mask   := s0_out.mask
26714a67055Ssfencevma  io.st_mask_out.bits.sqIdx  := s0_out.uop.sqIdx
268024ee227SWilliam Wang
26907fcc85dSweiding liu  io.stin.ready := s1_ready && s0_use_flow_rs
27007fcc85dSweiding liu  io.vecstin.ready := s1_ready && s0_use_flow_vec
27141d8d239Shappy-lx  io.prefetch_req.ready := s1_ready && io.dcache.req.ready && !s0_iss_valid && !s0_vec_valid && !s0_ma_st_valid
27241d8d239Shappy-lx  io.misalign_stin.ready := s1_ready && s0_use_flow_ma
27314a67055Ssfencevma
27414a67055Ssfencevma  // Pipeline
27514a67055Ssfencevma  // --------------------------------------------------------------------------------
27614a67055Ssfencevma  // stage 1
27714a67055Ssfencevma  // --------------------------------------------------------------------------------
27814a67055Ssfencevma  // TLB resp (send paddr to dcache)
27914a67055Ssfencevma  val s1_valid  = RegInit(false.B)
28014a67055Ssfencevma  val s1_in     = RegEnable(s0_out, s0_fire)
28114a67055Ssfencevma  val s1_out    = Wire(new LsPipelineBundle)
28214a67055Ssfencevma  val s1_kill   = Wire(Bool())
28314a67055Ssfencevma  val s1_can_go = s2_ready
28414a67055Ssfencevma  val s1_fire   = s1_valid && !s1_kill && s1_can_go
2859ac5754fSweiding liu  val s1_vecActive    = RegEnable(s0_out.vecActive, true.B, s0_fire)
28641d8d239Shappy-lx  val s1_frm_mabuf    = s1_in.isFrmMisAlignBuf
287b240e1c0SAnzooooo  val s1_is128bit     = s1_in.is128bit
28814a67055Ssfencevma
28914a67055Ssfencevma  // mmio cbo decoder
2903c808de0SAnzo  val s1_isCbo   = RegEnable(s0_isCbo, s0_fire)
29146e9ee74SHaoyuan Feng  val s1_vaNeedExt = io.tlb.resp.bits.excp(0).vaNeedExt
29246e9ee74SHaoyuan Feng  val s1_isHyper   = io.tlb.resp.bits.excp(0).isHyper
29314a67055Ssfencevma  val s1_paddr     = io.tlb.resp.bits.paddr(0)
294f86480a7Speixiaokun  val s1_gpaddr    = io.tlb.resp.bits.gpaddr(0)
295189833a1SHaoyuan Feng  val s1_fullva    = io.tlb.resp.bits.fullva
296ad415ae0SXiaokun-Pei  val s1_isForVSnonLeafPTE   = io.tlb.resp.bits.isForVSnonLeafPTE
297780e55f4SYanqin Li  val s1_tlb_miss  = io.tlb.resp.bits.miss && io.tlb.resp.valid && s1_valid
298780e55f4SYanqin Li  val s1_pbmt      = Mux(!s1_tlb_miss, io.tlb.resp.bits.pbmt.head, 0.U(Pbmt.width.W))
299870f462dSXuan Hu  val s1_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, StaCfg).asUInt.orR
30020a5248fSzhanglinjuan  val s1_isvec     = RegEnable(s0_out.isvec, false.B, s0_fire)
301562eaa0cSAnzooooo  //We don't want `StoreUnit` to have an additional effect on the Store of vector from a `misalignBuffer,`
302562eaa0cSAnzooooo  //But there are places where a marker bit is needed to enable additional processing of vector instructions.
303562eaa0cSAnzooooo  //For example: `StoreQueue` is exceptionBuffer
304562eaa0cSAnzooooo  val s1_frm_mab_vec = RegEnable(s0_use_flow_ma && io.misalign_stin.bits.isvec, false.B, s0_fire)
3053952421bSweiding liu  // val s1_isLastElem = RegEnable(s0_isLastElem, false.B, s0_fire)
30641d8d239Shappy-lx  s1_kill := s1_in.uop.robIdx.needFlush(io.redirect) || (s1_tlb_miss && !s1_isvec && !s1_frm_mabuf)
30714a67055Ssfencevma
30814a67055Ssfencevma  s1_ready := !s1_valid || s1_kill || s2_ready
30914a67055Ssfencevma  io.tlb.resp.ready := true.B // TODO: why dtlbResp needs a ready?
31014a67055Ssfencevma  when (s0_fire) { s1_valid := true.B }
31114a67055Ssfencevma  .elsewhen (s1_fire) { s1_valid := false.B }
31214a67055Ssfencevma  .elsewhen (s1_kill) { s1_valid := false.B }
31314a67055Ssfencevma
31414a67055Ssfencevma  // st-ld violation dectect request.
315977ac3b1SAnzo  io.stld_nuke_query.valid       := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch
31614a67055Ssfencevma  io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx
31714a67055Ssfencevma  io.stld_nuke_query.bits.paddr  := s1_paddr
31814a67055Ssfencevma  io.stld_nuke_query.bits.mask   := s1_in.mask
319b240e1c0SAnzooooo  io.stld_nuke_query.bits.matchLine := (s1_in.isvec || s1_in.misalignWith16Byte) && s1_in.is128bit
32014a67055Ssfencevma
32114a67055Ssfencevma  // issue
32241d8d239Shappy-lx  io.issue.valid := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch && !s1_isvec && !s1_frm_mabuf
32320a5248fSzhanglinjuan  io.issue.bits  := RegEnable(s0_stin, s0_valid)
32414a67055Ssfencevma
325b240e1c0SAnzooooo  // trigger
326b240e1c0SAnzooooo  val storeTrigger = Module(new MemTrigger(MemType.STORE))
327b240e1c0SAnzooooo  storeTrigger.io.fromCsrTrigger.tdataVec             := io.fromCsrTrigger.tdataVec
328b240e1c0SAnzooooo  storeTrigger.io.fromCsrTrigger.tEnableVec           := io.fromCsrTrigger.tEnableVec
329b240e1c0SAnzooooo  storeTrigger.io.fromCsrTrigger.triggerCanRaiseBpExp := io.fromCsrTrigger.triggerCanRaiseBpExp
330b240e1c0SAnzooooo  storeTrigger.io.fromCsrTrigger.debugMode            := io.fromCsrTrigger.debugMode
331b240e1c0SAnzooooo  storeTrigger.io.fromLoadStore.vaddr                 := s1_in.vaddr
332b240e1c0SAnzooooo  storeTrigger.io.fromLoadStore.isVectorUnitStride    := s1_in.isvec && s1_in.is128bit
333b240e1c0SAnzooooo  storeTrigger.io.fromLoadStore.mask                  := s1_in.mask
3343c808de0SAnzo  storeTrigger.io.isCbo.get                           := s1_isCbo
335b240e1c0SAnzooooo
336b240e1c0SAnzooooo  val s1_trigger_action = storeTrigger.io.toLoadStore.triggerAction
337b240e1c0SAnzooooo  val s1_trigger_debug_mode = TriggerAction.isDmode(s1_trigger_action)
338b240e1c0SAnzooooo  val s1_trigger_breakpoint = TriggerAction.isExp(s1_trigger_action)
339b240e1c0SAnzooooo
340b240e1c0SAnzooooo  // for misalign in vsMergeBuffer
341b240e1c0SAnzooooo  io.s0_s1_valid := s0_valid || s1_valid
34287433ba0Ssfencevma
34387433ba0Ssfencevma  // Send TLB feedback to store issue queue
34487433ba0Ssfencevma  // Store feedback is generated in store_s1, sent to RS in store_s2
345f6490124Ssfencevma  val s1_feedback = Wire(Valid(new RSFeedback))
34626af847eSgood-circle  s1_feedback.valid                 := s1_valid & !s1_in.isHWPrefetch
347562eaa0cSAnzooooo  s1_feedback.bits.hit              := !s1_tlb_miss
348f6490124Ssfencevma  s1_feedback.bits.flushState       := io.tlb.resp.bits.ptwBack
3497f8f47b4SXuan Hu  s1_feedback.bits.robIdx           := s1_out.uop.robIdx
350f6490124Ssfencevma  s1_feedback.bits.sourceType       := RSFeedbackType.tlbMiss
351f6490124Ssfencevma  s1_feedback.bits.dataInvalidSqIdx := DontCare
35238f78b5dSxiaofeibao-xjtu  s1_feedback.bits.sqIdx            := s1_out.uop.sqIdx
35328ac1c16Sxiaofeibao-xjtu  s1_feedback.bits.lqIdx            := s1_out.uop.lqIdx
3547f8f47b4SXuan Hu
355f6490124Ssfencevma  XSDebug(s1_feedback.valid,
356f6490124Ssfencevma    "S1 Store: tlbHit: %d robIdx: %d\n",
357f6490124Ssfencevma    s1_feedback.bits.hit,
3587f8f47b4SXuan Hu    s1_feedback.bits.robIdx.value
359f6490124Ssfencevma  )
360f6490124Ssfencevma
36120a5248fSzhanglinjuan  // io.feedback_slow := s1_feedback
36287433ba0Ssfencevma
36314a67055Ssfencevma  // get paddr from dtlb, check if rollback is needed
36414a67055Ssfencevma  // writeback store inst to lsq
36514a67055Ssfencevma  s1_out           := s1_in
36614a67055Ssfencevma  s1_out.paddr     := s1_paddr
367f86480a7Speixiaokun  s1_out.gpaddr    := s1_gpaddr
368189833a1SHaoyuan Feng  s1_out.fullva    := s1_fullva
36946e9ee74SHaoyuan Feng  s1_out.vaNeedExt := s1_vaNeedExt
37046e9ee74SHaoyuan Feng  s1_out.isHyper   := s1_isHyper
37114a67055Ssfencevma  s1_out.miss      := false.B
372780e55f4SYanqin Li  s1_out.nc        := Pbmt.isNC(s1_pbmt)
3731abade56SAnzo  s1_out.mmio      := Pbmt.isIO(s1_pbmt)
3740d32f713Shappy-lx  s1_out.tlbMiss   := s1_tlb_miss
3751abade56SAnzo  s1_out.atomic    := Pbmt.isIO(s1_pbmt)
37646e9ee74SHaoyuan Feng  s1_out.isForVSnonLeafPTE := s1_isForVSnonLeafPTE
377b240e1c0SAnzooooo  when (RegNext(io.tlb.req.bits.checkfullva) &&
37846e9ee74SHaoyuan Feng    (s1_out.uop.exceptionVec(storePageFault) ||
37946e9ee74SHaoyuan Feng      s1_out.uop.exceptionVec(storeAccessFault) ||
38046e9ee74SHaoyuan Feng      s1_out.uop.exceptionVec(storeGuestPageFault))) {
381db6cfb5aSHaoyuan Feng    s1_out.uop.exceptionVec(storeAddrMisaligned) := false.B
382db6cfb5aSHaoyuan Feng  }
3839ac5754fSweiding liu  s1_out.uop.exceptionVec(storePageFault)      := io.tlb.resp.bits.excp(0).pf.st && s1_vecActive
3849ac5754fSweiding liu  s1_out.uop.exceptionVec(storeAccessFault)    := io.tlb.resp.bits.excp(0).af.st && s1_vecActive
385e25e4d90SXuan Hu  s1_out.uop.exceptionVec(storeGuestPageFault) := io.tlb.resp.bits.excp(0).gpf.st && s1_vecActive
38614a67055Ssfencevma
38741d8d239Shappy-lx  s1_out.uop.flushPipe                := false.B
38894998b06Shappy-lx  s1_out.uop.trigger                  := s1_trigger_action
38994998b06Shappy-lx  s1_out.uop.exceptionVec(breakPoint) := s1_trigger_breakpoint
3901abade56SAnzo  s1_out.uop.exceptionVec(storeAddrMisaligned) := s1_out.mmio && s1_in.isMisalign
391c0355297SAnzooooo  s1_out.vecVaddrOffset := Mux(
392c0355297SAnzooooo    s1_trigger_debug_mode || s1_trigger_breakpoint,
393c0355297SAnzooooo    storeTrigger.io.toLoadStore.triggerVaddr - s1_in.vecBaseVaddr,
39441c5202dSAnzooooo    s1_in.vaddr + genVFirstUnmask(s1_in.mask).asUInt - s1_in.vecBaseVaddr ,
395c0355297SAnzooooo  )
396d0d2c22dSAnzooooo  s1_out.vecTriggerMask := Mux(s1_trigger_debug_mode || s1_trigger_breakpoint, storeTrigger.io.toLoadStore.triggerMask, 0.U)
397d0d2c22dSAnzooooo
39820a5248fSzhanglinjuan  // scalar store and scalar load nuke check, and also other purposes
399b240e1c0SAnzooooo  //A 128-bit aligned unaligned memory access requires changing the unaligned flag bit in sq
400b240e1c0SAnzooooo  io.lsq.valid     := s1_valid && !s1_in.isHWPrefetch
40114a67055Ssfencevma  io.lsq.bits      := s1_out
40214a67055Ssfencevma  io.lsq.bits.miss := s1_tlb_miss
403562eaa0cSAnzooooo  io.lsq.bits.isvec := s1_out.isvec || s1_frm_mab_vec
404b240e1c0SAnzooooo  io.lsq.bits.updateAddrValid := (!s1_in.isMisalign || s1_in.misalignWith16Byte) && (!s1_frm_mabuf || s1_in.isFinalSplit) || s1_exception
4050d32f713Shappy-lx  // kill dcache write intent request when tlb miss or exception
4061abade56SAnzo  io.dcache.s1_kill  := (s1_tlb_miss || s1_exception || s1_out.mmio || s1_in.uop.robIdx.needFlush(io.redirect))
4070d32f713Shappy-lx  io.dcache.s1_paddr := s1_paddr
4080d32f713Shappy-lx
40914a67055Ssfencevma  // write below io.out.bits assign sentence to prevent overwriting values
41014a67055Ssfencevma  val s1_tlb_memidx = io.tlb.resp.bits.memidx
41114a67055Ssfencevma  when(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_out.uop.sqIdx.value) {
41214a67055Ssfencevma    // printf("Store idx = %d\n", s1_tlb_memidx.idx)
41314a67055Ssfencevma    s1_out.uop.debugInfo.tlbRespTime := GTimer()
41414a67055Ssfencevma  }
4151abade56SAnzo  val s1_mis_align = s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch && !s1_isCbo && !s1_out.nc && !s1_out.mmio &&
416562eaa0cSAnzooooo                      GatedValidRegNext(io.csrCtrl.hd_misalign_st_enable) && s1_in.isMisalign && !s1_in.misalignWith16Byte &&
417562eaa0cSAnzooooo                      !s1_trigger_breakpoint && !s1_trigger_debug_mode
4184ec1f462Scz4e  val s1_toMisalignBufferValid = s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch &&
4194ec1f462Scz4e    !s1_frm_mabuf && !s1_isCbo && s1_in.isMisalign && !s1_in.misalignWith16Byte &&
4204ec1f462Scz4e    GatedValidRegNext(io.csrCtrl.hd_misalign_st_enable)
4214ec1f462Scz4e  io.misalign_enq.req.valid := s1_toMisalignBufferValid
4224ec1f462Scz4e  io.misalign_enq.req.bits.fromLsPipelineBundle(s1_in)
42314a67055Ssfencevma
42414a67055Ssfencevma  // Pipeline
42514a67055Ssfencevma  // --------------------------------------------------------------------------------
42614a67055Ssfencevma  // stage 2
42714a67055Ssfencevma  // --------------------------------------------------------------------------------
42814a67055Ssfencevma  // mmio check
42914a67055Ssfencevma  val s2_valid  = RegInit(false.B)
43014a67055Ssfencevma  val s2_in     = RegEnable(s1_out, s1_fire)
43114a67055Ssfencevma  val s2_out    = Wire(new LsPipelineBundle)
43214a67055Ssfencevma  val s2_kill   = Wire(Bool())
43314a67055Ssfencevma  val s2_can_go = s3_ready
43414a67055Ssfencevma  val s2_fire   = s2_valid && !s2_kill && s2_can_go
4359ac5754fSweiding liu  val s2_vecActive    = RegEnable(s1_out.vecActive, true.B, s1_fire)
43641d8d239Shappy-lx  val s2_frm_mabuf    = s2_in.isFrmMisAlignBuf
437562eaa0cSAnzooooo  val s2_frm_mab_vec  = RegEnable(s1_frm_mab_vec, true.B, s1_fire)
438002c10a4SYanqin Li  val s2_pbmt   = RegEnable(s1_pbmt, s1_fire)
43994998b06Shappy-lx  val s2_trigger_debug_mode = RegEnable(s1_trigger_debug_mode, false.B, s1_fire)
44014a67055Ssfencevma
44114a67055Ssfencevma  s2_ready := !s2_valid || s2_kill || s3_ready
44214a67055Ssfencevma  when (s1_fire) { s2_valid := true.B }
44314a67055Ssfencevma  .elsewhen (s2_fire) { s2_valid := false.B }
44414a67055Ssfencevma  .elsewhen (s2_kill) { s2_valid := false.B }
44514a67055Ssfencevma
44614a67055Ssfencevma  val s2_pmp = WireInit(io.pmp)
44714a67055Ssfencevma
44894998b06Shappy-lx  val s2_exception = RegNext(s1_feedback.bits.hit) &&
449da51a7acSAnzo                    (s2_trigger_debug_mode || ExceptionNO.selectByFu(s2_out.uop.exceptionVec, StaCfg).asUInt.orR) && s2_vecActive
450cdf4134dSAnzo  val s2_un_misalign_exception =  RegNext(s1_feedback.bits.hit) &&
451cdf4134dSAnzo                    (s2_trigger_debug_mode || ExceptionNO.selectByFuAndUnSelect(s2_out.uop.exceptionVec, StaCfg, Seq(storeAddrMisaligned)).asUInt.orR)
452cdf4134dSAnzo
453780e55f4SYanqin Li  val s2_mmio = (s2_in.mmio || (Pbmt.isPMA(s2_pbmt) && s2_pmp.mmio)) && RegNext(s1_feedback.bits.hit)
454519244c7SYanqin Li  val s2_memBackTypeMM = !s2_pmp.mmio
4552c8aeb65SAnzo  // The response signal of `pmp/pma` is credible only after the physical address is actually generated.
4562c8aeb65SAnzo  // Therefore, the response signals of pmp/pma generated after an address translation has produced an `access fault` or a `page fault` are completely unreliable.
4572c8aeb65SAnzo  val s2_un_access_exception =  s2_vecActive && (
4582c8aeb65SAnzo    s2_in.uop.exceptionVec(storeAccessFault) ||
4592c8aeb65SAnzo    s2_in.uop.exceptionVec(storePageFault)   ||
4602c8aeb65SAnzo    s2_in.uop.exceptionVec(storeGuestPageFault)
4612c8aeb65SAnzo  )
4622c8aeb65SAnzo  // This real physical address is located in uncache space.
4632c8aeb65SAnzo  val s2_actually_uncache = !s2_in.tlbMiss && !s2_un_access_exception && (Pbmt.isPMA(s2_pbmt) && s2_pmp.mmio || s2_in.nc || s2_in.mmio) && RegNext(s1_feedback.bits.hit)
4641abade56SAnzo  val s2_isCbo  = RegEnable(s1_isCbo, s1_fire) // all cbo instr
4651abade56SAnzo  val s2_isCbo_noZero = LSUOpType.isCbo(s2_in.uop.fuOpType)
4661abade56SAnzo
467562eaa0cSAnzooooo  s2_kill := ((s2_mmio && !s2_exception) && !s2_in.isvec && !s2_frm_mabuf) || s2_in.uop.robIdx.needFlush(io.redirect)
46814a67055Ssfencevma
46914a67055Ssfencevma  s2_out        := s2_in
470c0355297SAnzooooo  s2_out.af     := s2_out.uop.exceptionVec(storeAccessFault)
47114a67055Ssfencevma  s2_out.mmio   := s2_mmio && !s2_exception
472780e55f4SYanqin Li  s2_out.atomic := s2_in.atomic || Pbmt.isPMA(s2_pbmt) && s2_pmp.atomic
473519244c7SYanqin Li  s2_out.memBackTypeMM := s2_memBackTypeMM
47411d57984Slwd  s2_out.uop.exceptionVec(storeAccessFault) := (s2_in.uop.exceptionVec(storeAccessFault) ||
47511d57984Slwd                                                s2_pmp.st ||
47635bb7796SAnzo                                                ((s2_in.isvec || s2_isCbo) && s2_actually_uncache && RegNext(s1_feedback.bits.hit))
47711d57984Slwd                                                ) && s2_vecActive
4782c8aeb65SAnzo  s2_out.uop.exceptionVec(storeAddrMisaligned) := s2_actually_uncache && s2_in.isMisalign && !s2_un_misalign_exception
47941c5202dSAnzooooo  s2_out.uop.vpu.vstart     := s2_in.vecVaddrOffset >> s2_in.uop.vpu.veew
480db7bf96eSYinan Xu
4810d32f713Shappy-lx  // kill dcache write intent request when mmio or exception
4822c8aeb65SAnzo  io.dcache.s2_kill := (s2_actually_uncache || s2_exception || s2_in.uop.robIdx.needFlush(io.redirect))
48383ba63b3SXuan Hu  io.dcache.s2_pc   := s2_out.uop.pc
4840d32f713Shappy-lx  // TODO: dcache resp
4850d32f713Shappy-lx  io.dcache.resp.ready := true.B
4860d32f713Shappy-lx
487cdf4134dSAnzo  val s2_mis_align = s2_valid && RegEnable(s1_mis_align, s1_fire) && !s2_exception
488562eaa0cSAnzooooo  // goto misalignBuffer
4894ec1f462Scz4e  io.misalign_enq.revoke := s2_exception
4904ec1f462Scz4e  val s2_misalignBufferNack = !io.misalign_enq.revoke &&
4914ec1f462Scz4e    RegEnable(s1_toMisalignBufferValid && !io.misalign_enq.req.ready, false.B, s1_fire)
492562eaa0cSAnzooooo
4937114a237SWilliam Wang  // feedback tlb miss to RS in store_s2
4945adc4829SYanqin Li  val feedback_slow_valid = WireInit(false.B)
495562eaa0cSAnzooooo
49641d8d239Shappy-lx  feedback_slow_valid := s1_feedback.valid && !s1_out.uop.robIdx.needFlush(io.redirect) && !s1_out.isvec && !s1_frm_mabuf
4975adc4829SYanqin Li  io.feedback_slow.valid := GatedValidRegNext(feedback_slow_valid)
4985adc4829SYanqin Li  io.feedback_slow.bits  := RegEnable(s1_feedback.bits, feedback_slow_valid)
4994ec1f462Scz4e  io.feedback_slow.bits.hit  := RegEnable(s1_feedback.bits.hit, feedback_slow_valid) && !s2_misalignBufferNack
5007114a237SWilliam Wang
501562eaa0cSAnzooooo  val s2_vecFeedback = RegNext(!s1_out.uop.robIdx.needFlush(io.redirect) && s1_feedback.bits.hit && s1_feedback.valid) &&
5024ec1f462Scz4e                       !s2_misalignBufferNack && s2_in.isvec && !s2_frm_mabuf
50320a5248fSzhanglinjuan
50441d8d239Shappy-lx  val s2_misalign_stout = WireInit(0.U.asTypeOf(io.misalign_stout))
50541d8d239Shappy-lx  s2_misalign_stout.valid := s2_valid && s2_can_go && s2_frm_mabuf
50615471b5dSAnzo  connectSamePort(s2_misalign_stout.bits, s2_out)
50741d8d239Shappy-lx  s2_misalign_stout.bits.need_rep := RegEnable(s1_tlb_miss, s1_fire)
50841d8d239Shappy-lx  io.misalign_stout := s2_misalign_stout
50941d8d239Shappy-lx
51014a67055Ssfencevma  // mmio and exception
51114a67055Ssfencevma  io.lsq_replenish := s2_out
512e4f52b4eSzhanglinjuan  io.lsq_replenish.af := s2_out.af && s2_valid && !s2_kill
5131abade56SAnzo  io.lsq_replenish.mmio := (s2_mmio || s2_isCbo_noZero) && !s2_exception // reuse `mmiostall` logic in sq
514e228b724SWilliam Wang
5150d32f713Shappy-lx  // prefetch related
5160d32f713Shappy-lx  io.lsq_replenish.miss := io.dcache.resp.fire && io.dcache.resp.bits.miss // miss info
517562eaa0cSAnzooooo  io.lsq_replenish.updateAddrValid := !s2_mis_align && (!s2_frm_mabuf || s2_out.isFinalSplit) || s2_exception
518562eaa0cSAnzooooo  io.lsq_replenish.isvec := s2_out.isvec || s2_frm_mab_vec
519562eaa0cSAnzooooo
520562eaa0cSAnzooooo  io.lsq_replenish.hasException := (ExceptionNO.selectByFu(s2_out.uop.exceptionVec, StaCfg).asUInt.orR ||
521562eaa0cSAnzooooo    TriggerAction.isDmode(s2_out.uop.trigger) || s2_out.af) && s2_valid && !s2_kill
522562eaa0cSAnzooooo
5230d32f713Shappy-lx
524cd2ff98bShappy-lx  // RegNext prefetch train for better timing
525cd2ff98bShappy-lx  // ** Now, prefetch train is valid at store s3 **
5265adc4829SYanqin Li  val s2_prefetch_train_valid = WireInit(false.B)
527780e55f4SYanqin Li  s2_prefetch_train_valid := s2_valid && io.dcache.resp.fire && !s2_out.mmio && !s2_out.nc && !s2_in.tlbMiss && !s2_in.isHWPrefetch
5280d32f713Shappy-lx  if(EnableStorePrefetchSMS) {
5294ccb2e8bSYanqin Li    io.s1_prefetch_spec := s1_fire
53095e60337SYanqin Li    io.s2_prefetch_spec := s2_prefetch_train_valid
53195e60337SYanqin Li    io.prefetch_train.valid := RegNext(s2_prefetch_train_valid)
5325adc4829SYanqin Li    io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true, enable = s2_prefetch_train_valid)
5330d32f713Shappy-lx  }else {
5344ccb2e8bSYanqin Li    io.s1_prefetch_spec := false.B
53595e60337SYanqin Li    io.s2_prefetch_spec := false.B
5360d32f713Shappy-lx    io.prefetch_train.valid := false.B
5375adc4829SYanqin Li    io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true, enable = false.B)
5380d32f713Shappy-lx  }
5395adc4829SYanqin Li  // override miss bit
5405adc4829SYanqin Li  io.prefetch_train.bits.miss := RegEnable(io.dcache.resp.bits.miss, s2_prefetch_train_valid)
5415adc4829SYanqin Li  // TODO: add prefetch and access bit
5425adc4829SYanqin Li  io.prefetch_train.bits.meta_prefetch := false.B
5435adc4829SYanqin Li  io.prefetch_train.bits.meta_access := false.B
544b240e1c0SAnzooooo  io.prefetch_train.bits.isFinalSplit := false.B
545b240e1c0SAnzooooo  io.prefetch_train.bits.misalignWith16Byte := false.B
546b240e1c0SAnzooooo  io.prefetch_train.bits.isMisalign := false.B
547b240e1c0SAnzooooo  io.prefetch_train.bits.misalignNeedWakeUp := false.B
548b240e1c0SAnzooooo  io.prefetch_train.bits.updateAddrValid := false.B
549562eaa0cSAnzooooo  io.prefetch_train.bits.hasException := false.B
5500d32f713Shappy-lx
55114a67055Ssfencevma  // Pipeline
55214a67055Ssfencevma  // --------------------------------------------------------------------------------
55314a67055Ssfencevma  // stage 3
55414a67055Ssfencevma  // --------------------------------------------------------------------------------
55514a67055Ssfencevma  // store write back
55614a67055Ssfencevma  val s3_valid  = RegInit(false.B)
55714a67055Ssfencevma  val s3_in     = RegEnable(s2_out, s2_fire)
55826af847eSgood-circle  val s3_out    = Wire(new MemExuOutput(isVector = true))
55914a67055Ssfencevma  val s3_kill   = s3_in.uop.robIdx.needFlush(io.redirect)
56014a67055Ssfencevma  val s3_can_go = s3_ready
56114a67055Ssfencevma  val s3_fire   = s3_valid && !s3_kill && s3_can_go
56226af847eSgood-circle  val s3_vecFeedback = RegEnable(s2_vecFeedback, s2_fire)
563da51a7acSAnzo  val s3_exception     = RegEnable(s2_exception, s2_fire)
56414a67055Ssfencevma
56541d8d239Shappy-lx  // store misalign will not writeback to rob now
5663c808de0SAnzo  when (s2_fire) { s3_valid := (!s2_mmio && !s2_isCbo || s2_exception) && !s2_out.isHWPrefetch && !s2_mis_align && !s2_frm_mabuf }
56714a67055Ssfencevma  .elsewhen (s3_fire) { s3_valid := false.B }
56814a67055Ssfencevma  .elsewhen (s3_kill) { s3_valid := false.B }
56914a67055Ssfencevma
57014a67055Ssfencevma  // wb: writeback
57114a67055Ssfencevma
57214a67055Ssfencevma  s3_out                 := DontCare
57314a67055Ssfencevma  s3_out.uop             := s3_in.uop
57414a67055Ssfencevma  s3_out.data            := DontCare
57514a67055Ssfencevma  s3_out.debug.isMMIO    := s3_in.mmio
576bb76fc1bSYanqin Li  s3_out.debug.isNC      := s3_in.nc
57714a67055Ssfencevma  s3_out.debug.paddr     := s3_in.paddr
57814a67055Ssfencevma  s3_out.debug.vaddr     := s3_in.vaddr
57914a67055Ssfencevma  s3_out.debug.isPerfCnt := false.B
58014a67055Ssfencevma
581da51a7acSAnzo  XSError(s3_valid && s3_in.isvec && s3_in.vecActive && !s3_in.mask.orR, "In vecActive, mask complement should not be 0")
58214a67055Ssfencevma  // Pipeline
58314a67055Ssfencevma  // --------------------------------------------------------------------------------
58414a67055Ssfencevma  // stage x
58514a67055Ssfencevma  // --------------------------------------------------------------------------------
5864a02bbdaSAnzo  val sx_valid = Wire(Vec(RAWTotalDelayCycles + 1, Bool()))
5874a02bbdaSAnzo  val sx_ready = Wire(Vec(RAWTotalDelayCycles + 1, Bool()))
5884a02bbdaSAnzo  val sx_in    = Wire(Vec(RAWTotalDelayCycles + 1, new VecMemExuOutput(isVector = true)))
5894a02bbdaSAnzo  val sx_in_vec = Wire(Vec(RAWTotalDelayCycles +1, Bool()))
59014a67055Ssfencevma
59114a67055Ssfencevma  // backward ready signal
59214a67055Ssfencevma  s3_ready := sx_ready.head
5934a02bbdaSAnzo  for (i <- 0 until RAWTotalDelayCycles + 1) {
59414a67055Ssfencevma    if (i == 0) {
59514a67055Ssfencevma      sx_valid(i)          := s3_valid
59626af847eSgood-circle      sx_in(i).output      := s3_out
59726af847eSgood-circle      sx_in(i).vecFeedback := s3_vecFeedback
598780e55f4SYanqin Li      sx_in(i).nc          := s3_in.nc
59926af847eSgood-circle      sx_in(i).mmio        := s3_in.mmio
60026af847eSgood-circle      sx_in(i).usSecondInv := s3_in.usSecondInv
601b7618691Sweiding liu      sx_in(i).elemIdx     := s3_in.elemIdx
602b7618691Sweiding liu      sx_in(i).alignedType := s3_in.alignedType
603ebb914e7Sweiding liu      sx_in(i).mbIndex     := s3_in.mbIndex
60455178b77Sweiding liu      sx_in(i).mask        := s3_in.mask
605db6cfb5aSHaoyuan Feng      sx_in(i).vaddr       := s3_in.fullva
60646e9ee74SHaoyuan Feng      sx_in(i).vaNeedExt   := s3_in.vaNeedExt
607a53daa0fSHaoyuan Feng      sx_in(i).gpaddr      := s3_in.gpaddr
608ad415ae0SXiaokun-Pei      sx_in(i).isForVSnonLeafPTE     := s3_in.isForVSnonLeafPTE
609d0d2c22dSAnzooooo      sx_in(i).vecTriggerMask := s3_in.vecTriggerMask
610da51a7acSAnzo      sx_in(i).hasException := s3_exception
611e7ab4635SHuijin Li      sx_in_vec(i)         := s3_in.isvec
6124a02bbdaSAnzo      sx_ready(i) := !s3_valid(i) || sx_in(i).output.uop.robIdx.needFlush(io.redirect) || (if (RAWTotalDelayCycles == 0) io.stout.ready else sx_ready(i+1))
61314a67055Ssfencevma    } else {
61426af847eSgood-circle      val cur_kill   = sx_in(i).output.uop.robIdx.needFlush(io.redirect)
6154a02bbdaSAnzo      val cur_can_go = (if (i == RAWTotalDelayCycles) io.stout.ready else sx_ready(i+1))
61614a67055Ssfencevma      val cur_fire   = sx_valid(i) && !cur_kill && cur_can_go
61726af847eSgood-circle      val prev_fire  = sx_valid(i-1) && !sx_in(i-1).output.uop.robIdx.needFlush(io.redirect) && sx_ready(i)
61814a67055Ssfencevma
6194a02bbdaSAnzo      sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == RAWTotalDelayCycles) io.stout.ready else sx_ready(i+1))
62014a67055Ssfencevma      val sx_valid_can_go = prev_fire || cur_fire || cur_kill
621495ea2f0Ssfencevma      sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), false.B, sx_valid_can_go)
62214a67055Ssfencevma      sx_in(i) := RegEnable(sx_in(i-1), prev_fire)
623e7ab4635SHuijin Li      sx_in_vec(i) := RegEnable(sx_in_vec(i-1), prev_fire)
62414a67055Ssfencevma    }
62514a67055Ssfencevma  }
62614a67055Ssfencevma  val sx_last_valid = sx_valid.takeRight(1).head
62714a67055Ssfencevma  val sx_last_ready = sx_ready.takeRight(1).head
62814a67055Ssfencevma  val sx_last_in    = sx_in.takeRight(1).head
629e7ab4635SHuijin Li  val sx_last_in_vec = sx_in_vec.takeRight(1).head
63026af847eSgood-circle  sx_last_ready := !sx_last_valid || sx_last_in.output.uop.robIdx.needFlush(io.redirect) || io.stout.ready
63114a67055Ssfencevma
632780e55f4SYanqin Li  // write back: normal store, nc store
633bf4beb45Scz4e  io.stout.valid := sx_last_valid && !sx_last_in_vec //isStore(sx_last_in.output.uop.fuType)
63426af847eSgood-circle  io.stout.bits := sx_last_in.output
635102b377bSweiding liu  io.stout.bits.uop.exceptionVec := ExceptionNO.selectByFu(sx_last_in.output.uop.exceptionVec, StaCfg)
63626af847eSgood-circle
637bf4beb45Scz4e  io.vecstout.valid := sx_last_valid && sx_last_in_vec //isVStore(sx_last_in.output.uop.fuType)
63826af847eSgood-circle  // TODO: implement it!
639ebb914e7Sweiding liu  io.vecstout.bits.mBIndex := sx_last_in.mbIndex
640ebb914e7Sweiding liu  io.vecstout.bits.hit := sx_last_in.vecFeedback
64126af847eSgood-circle  io.vecstout.bits.isvec := true.B
64226af847eSgood-circle  io.vecstout.bits.sourceType := RSFeedbackType.tlbMiss
643ebb914e7Sweiding liu  io.vecstout.bits.flushState := DontCare
644506ca2a3SAnzooooo  io.vecstout.bits.trigger    := sx_last_in.output.uop.trigger
645780e55f4SYanqin Li  io.vecstout.bits.nc := sx_last_in.nc
64626af847eSgood-circle  io.vecstout.bits.mmio := sx_last_in.mmio
647102b377bSweiding liu  io.vecstout.bits.exceptionVec := ExceptionNO.selectByFu(sx_last_in.output.uop.exceptionVec, VstuCfg)
648da51a7acSAnzo  io.vecstout.bits.hasException := sx_last_in.hasException
64926af847eSgood-circle  io.vecstout.bits.usSecondInv := sx_last_in.usSecondInv
650b7618691Sweiding liu  io.vecstout.bits.vecFeedback := sx_last_in.vecFeedback
65155178b77Sweiding liu  io.vecstout.bits.elemIdx     := sx_last_in.elemIdx
65255178b77Sweiding liu  io.vecstout.bits.alignedType := sx_last_in.alignedType
65355178b77Sweiding liu  io.vecstout.bits.mask        := sx_last_in.mask
6545dc0f712SAnzooooo  io.vecstout.bits.vaddr       := sx_last_in.vaddr
65546e9ee74SHaoyuan Feng  io.vecstout.bits.vaNeedExt   := sx_last_in.vaNeedExt
656a53daa0fSHaoyuan Feng  io.vecstout.bits.gpaddr      := sx_last_in.gpaddr
657ad415ae0SXiaokun-Pei  io.vecstout.bits.isForVSnonLeafPTE     := sx_last_in.isForVSnonLeafPTE
65841c5202dSAnzooooo  io.vecstout.bits.vstart      := sx_last_in.output.uop.vpu.vstart
659d0d2c22dSAnzooooo  io.vecstout.bits.vecTriggerMask := sx_last_in.vecTriggerMask
66026af847eSgood-circle  // io.vecstout.bits.reg_offset.map(_ := DontCare)
66126af847eSgood-circle  // io.vecstout.bits.elemIdx.map(_ := sx_last_in.elemIdx)
66226af847eSgood-circle  // io.vecstout.bits.elemIdxInsideVd.map(_ := DontCare)
66326af847eSgood-circle  // io.vecstout.bits.vecdata.map(_ := DontCare)
66426af847eSgood-circle  // io.vecstout.bits.mask.map(_ := DontCare)
66526af847eSgood-circle  // io.vecstout.bits.alignedType.map(_ := sx_last_in.alignedType)
66658d6c396SWilliam Wang
6678744445eSMaxpicca-Li  io.debug_ls := DontCare
66814a67055Ssfencevma  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
6694d931b73SYanqin Li  io.debug_ls.s1_isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch
6708744445eSMaxpicca-Li
671024ee227SWilliam Wang  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
672024ee227SWilliam Wang    XSDebug(cond,
673870f462dSXuan Hu      p"$name" + p" pc ${Hexadecimal(pipeline.uop.pc)} " +
674024ee227SWilliam Wang        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
675870f462dSXuan Hu        p"op ${Binary(pipeline.uop.fuOpType)} " +
676024ee227SWilliam Wang        p"data ${Hexadecimal(pipeline.data)} " +
677024ee227SWilliam Wang        p"mask ${Hexadecimal(pipeline.mask)}\n"
678024ee227SWilliam Wang    )
679024ee227SWilliam Wang  }
680024ee227SWilliam Wang
68114a67055Ssfencevma  printPipeLine(s0_out, s0_valid, "S0")
68214a67055Ssfencevma  printPipeLine(s1_out, s1_valid, "S1")
68314a67055Ssfencevma
68414a67055Ssfencevma  // perf cnt
6851b027d07Ssfencevma  XSPerfAccumulate("s0_in_valid",                s0_valid)
6861b027d07Ssfencevma  XSPerfAccumulate("s0_in_fire",                 s0_fire)
687b2d6d8e7Sgood-circle  XSPerfAccumulate("s0_vecin_fire",              s0_fire && s0_use_flow_vec)
6881b027d07Ssfencevma  XSPerfAccumulate("s0_in_fire_first_issue",     s0_fire && s0_isFirstIssue)
68920a5248fSzhanglinjuan  XSPerfAccumulate("s0_addr_spec_success",       s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12))
69020a5248fSzhanglinjuan  XSPerfAccumulate("s0_addr_spec_failed",        s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12))
69120a5248fSzhanglinjuan  XSPerfAccumulate("s0_addr_spec_success_once",  s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
69220a5248fSzhanglinjuan  XSPerfAccumulate("s0_addr_spec_failed_once",   s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
69314a67055Ssfencevma
6941b027d07Ssfencevma  XSPerfAccumulate("s1_in_valid",                s1_valid)
6951b027d07Ssfencevma  XSPerfAccumulate("s1_in_fire",                 s1_fire)
6961b027d07Ssfencevma  XSPerfAccumulate("s1_in_fire_first_issue",     s1_fire && s1_in.isFirstIssue)
6971b027d07Ssfencevma  XSPerfAccumulate("s1_tlb_miss",                s1_fire && s1_tlb_miss)
6981b027d07Ssfencevma  XSPerfAccumulate("s1_tlb_miss_first_issue",    s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
69914a67055Ssfencevma  // end
700024ee227SWilliam Wang}
701