xref: /XiangShan/src/main/scala/xiangshan/mem/pipeline/StoreUnit.scala (revision 4aa0028654716f3ef660f985eb6662c6c75b70d0)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.mem
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utils._
23import utility._
24import xiangshan.ExceptionNO._
25import xiangshan._
26import xiangshan.backend.Bundles.{MemExuInput, MemExuOutput}
27import xiangshan.backend.fu.PMPRespBundle
28import xiangshan.backend.fu.FuConfig._
29import xiangshan.backend.fu.FuType._
30import xiangshan.backend.ctrlblock.DebugLsInfoBundle
31import xiangshan.cache.mmu.{TlbCmd, TlbReq, TlbRequestIO, TlbResp}
32import xiangshan.cache.{DcacheStoreRequestIO, DCacheStoreIO, MemoryOpConstants, HasDCacheParameters, StorePrefetchReq}
33
34class StoreUnit(implicit p: Parameters) extends XSModule with HasDCacheParameters {
35  val io = IO(new Bundle() {
36    val redirect        = Flipped(ValidIO(new Redirect))
37    val stin            = Flipped(Decoupled(new MemExuInput))
38    val issue           = Valid(new MemExuInput)
39    val tlb             = new TlbRequestIO()
40    val dcache          = new DCacheStoreIO
41    val pmp             = Flipped(new PMPRespBundle())
42    val lsq             = ValidIO(new LsPipelineBundle)
43    val lsq_replenish   = Output(new LsPipelineBundle())
44    val feedback_slow   = ValidIO(new RSFeedback)
45    val prefetch_req    = Flipped(DecoupledIO(new StorePrefetchReq))
46    // provide prefetch info to sms
47    val prefetch_train  = ValidIO(new StPrefetchTrainBundle())
48    val stld_nuke_query = Valid(new StoreNukeQueryIO)
49    val stout           = DecoupledIO(new MemExuOutput) // writeback store
50    val vecstout        = DecoupledIO(new VecPipelineFeedbackIO(isVStore = true))
51    // store mask, send to sq in store_s0
52    val st_mask_out     = Valid(new StoreMaskBundle)
53    val debug_ls        = Output(new DebugLsInfoBundle)
54    // vector
55    val vecstin           = Flipped(Decoupled(new VecPipeBundle(isVStore = true)))
56    val vec_isFirstIssue  = Input(Bool())
57  })
58
59  val s1_ready, s2_ready, s3_ready = WireInit(false.B)
60
61  // Pipeline
62  // --------------------------------------------------------------------------------
63  // stage 0
64  // --------------------------------------------------------------------------------
65  // generate addr, use addr to query DCache and DTLB
66  val s0_iss_valid    = io.stin.valid
67  val s0_prf_valid    = io.prefetch_req.valid && io.dcache.req.ready
68  val s0_vec_valid    = io.vecstin.valid
69  val s0_valid        = s0_iss_valid || s0_prf_valid || s0_vec_valid
70  val s0_use_flow_vec = s0_vec_valid
71  val s0_use_flow_rs  = s0_iss_valid && !s0_vec_valid
72  val s0_use_flow_prf = !s0_iss_valid && !s0_vec_valid && s0_prf_valid
73  val s0_stin         = Mux(s0_use_flow_rs, io.stin.bits, 0.U.asTypeOf(io.stin.bits))
74  val s0_vecstin      = Mux(s0_use_flow_vec, io.vecstin.bits, 0.U.asTypeOf(io.vecstin.bits))
75  val s0_uop          = Mux(s0_use_flow_rs, s0_stin.uop, s0_vecstin.uop)
76  val s0_isFirstIssue = s0_use_flow_rs && io.stin.bits.isFirstIssue || s0_use_flow_vec && io.vec_isFirstIssue
77  val s0_rsIdx        = Mux(s0_use_flow_rs, io.stin.bits.iqIdx, 0.U)
78  val s0_size         = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.fuOpType(2,0), 0.U)// may broken if use it in feature
79  val s0_mem_idx      = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.sqIdx.value, 0.U)
80  val s0_rob_idx      = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.robIdx, 0.U.asTypeOf(s0_uop.robIdx))
81  val s0_pc           = Mux(s0_use_flow_rs || s0_use_flow_vec, s0_uop.pc, 0.U)
82  val s0_instr_type   = Mux(s0_use_flow_rs || s0_use_flow_vec, STORE_SOURCE.U, DCACHE_PREFETCH_SOURCE.U)
83  val s0_wlineflag    = Mux(s0_use_flow_rs, s0_uop.fuOpType === LSUOpType.cbo_zero, false.B)
84  val s0_out          = Wire(new LsPipelineBundle)
85  val s0_kill         = s0_uop.robIdx.needFlush(io.redirect)
86  val s0_can_go       = s1_ready
87  val s0_fire         = s0_valid && !s0_kill && s0_can_go
88  // vector
89  val s0_vecActive    = !s0_use_flow_vec || s0_vecstin.vecActive
90  // val s0_flowPtr      = s0_vecstin.flowPtr
91  // val s0_isLastElem   = s0_vecstin.isLastElem
92  val s0_secondInv    = s0_vecstin.usSecondInv
93  val s0_mBIndex      = s0_vecstin.mBIndex
94
95  // generate addr
96  // val saddr = s0_in.bits.src(0) + SignExt(s0_in.bits.uop.imm(11,0), VAddrBits)
97  val imm12 = WireInit(s0_uop.imm(11,0))
98  val saddr_lo = s0_stin.src(0)(11,0) + Cat(0.U(1.W), imm12)
99  val saddr_hi = Mux(saddr_lo(12),
100    Mux(imm12(11), s0_stin.src(0)(VAddrBits-1, 12), s0_stin.src(0)(VAddrBits-1, 12)+1.U),
101    Mux(imm12(11), s0_stin.src(0)(VAddrBits-1, 12)+SignExt(1.U, VAddrBits-12), s0_stin.src(0)(VAddrBits-1, 12)),
102  )
103  val s0_saddr = Cat(saddr_hi, saddr_lo(11,0))
104  val s0_vaddr = Mux(
105    s0_use_flow_rs,
106    s0_saddr,
107    Mux(
108      s0_use_flow_vec,
109      s0_vecstin.vaddr,
110      io.prefetch_req.bits.vaddr
111    )
112  )
113  val s0_mask  = Mux(
114    s0_use_flow_rs,
115    genVWmask128(s0_saddr, s0_uop.fuOpType(2,0)),
116    Mux(
117      s0_use_flow_vec,
118      s0_vecstin.mask,
119      // -1.asSInt.asUInt
120      Fill(VLEN/8, 1.U(1.W))
121    )
122  )
123
124  io.tlb.req.valid                   := s0_valid
125  io.tlb.req.bits.vaddr              := s0_vaddr
126  io.tlb.req.bits.cmd                := TlbCmd.write
127  io.tlb.req.bits.size               := s0_size
128  io.tlb.req.bits.kill               := false.B
129  io.tlb.req.bits.memidx.is_ld       := false.B
130  io.tlb.req.bits.memidx.is_st       := true.B
131  io.tlb.req.bits.memidx.idx         := s0_mem_idx
132  io.tlb.req.bits.debug.robIdx       := s0_rob_idx
133  io.tlb.req.bits.no_translate       := false.B
134  io.tlb.req.bits.debug.pc           := s0_pc
135  io.tlb.req.bits.debug.isFirstIssue := s0_isFirstIssue
136  io.tlb.req_kill                    := false.B
137
138  // Dcache access here: not **real** dcache write
139  // just read meta and tag in dcache, to find out the store will hit or miss
140
141  // NOTE: The store request does not wait for the dcache to be ready.
142  //       If the dcache is not ready at this time, the dcache is not queried.
143  //       But, store prefetch request will always wait for dcache to be ready to make progress.
144  io.dcache.req.valid              := s0_fire
145  io.dcache.req.bits.cmd           := MemoryOpConstants.M_PFW
146  io.dcache.req.bits.vaddr         := s0_vaddr
147  io.dcache.req.bits.instrtype     := s0_instr_type
148
149  s0_out              := DontCare
150  s0_out.vaddr        := s0_vaddr
151  // Now data use its own io
152  // s1_out.data := genWdata(s1_in.src(1), s1_in.uop.fuOpType(1,0))
153  s0_out.data         := s0_stin.src(1)
154  s0_out.uop          := s0_uop
155  s0_out.miss         := false.B
156  s0_out.rsIdx        := s0_rsIdx
157  s0_out.mask         := s0_mask
158  s0_out.isFirstIssue := s0_isFirstIssue
159  s0_out.isHWPrefetch := s0_use_flow_prf
160  s0_out.wlineflag    := s0_wlineflag
161  s0_out.isvec        := s0_use_flow_vec
162  s0_out.is128bit     := false.B
163  s0_out.vecActive    := s0_vecActive
164  s0_out.usSecondInv  := s0_secondInv
165  s0_out.mbIndex      := s0_mBIndex
166  when(s0_valid && s0_isFirstIssue) {
167    s0_out.uop.debugInfo.tlbFirstReqTime := GTimer()
168  }
169
170  // exception check
171  val s0_addr_aligned = LookupTree(Mux(s0_use_flow_vec, s0_vecstin.alignedType(1,0), s0_uop.fuOpType(1, 0)), List(
172    "b00".U   -> true.B,              //b
173    "b01".U   -> (s0_out.vaddr(0) === 0.U),   //h
174    "b10".U   -> (s0_out.vaddr(1,0) === 0.U), //w
175    "b11".U   -> (s0_out.vaddr(2,0) === 0.U)  //d
176  ))
177  // if vector store sends 128-bit requests, its address must be 128-aligned
178  XSError(s0_use_flow_vec && s0_out.vaddr(3, 0) =/= 0.U && s0_vecstin.alignedType(2), "unit stride 128 bit element is not aligned!")
179  s0_out.uop.exceptionVec(storeAddrMisaligned) := Mux(s0_use_flow_rs || s0_use_flow_vec, !s0_addr_aligned, false.B)
180
181  io.st_mask_out.valid       := s0_use_flow_rs || s0_use_flow_vec
182  io.st_mask_out.bits.mask   := s0_out.mask
183  io.st_mask_out.bits.sqIdx  := s0_out.uop.sqIdx
184
185  io.stin.ready := s1_ready && s0_use_flow_rs
186  io.vecstin.ready := s1_ready && s0_use_flow_vec
187  io.prefetch_req.ready := s1_ready && io.dcache.req.ready && !s0_iss_valid && !s0_vec_valid
188
189  // Pipeline
190  // --------------------------------------------------------------------------------
191  // stage 1
192  // --------------------------------------------------------------------------------
193  // TLB resp (send paddr to dcache)
194  val s1_valid  = RegInit(false.B)
195  val s1_in     = RegEnable(s0_out, s0_fire)
196  val s1_out    = Wire(new LsPipelineBundle)
197  val s1_kill   = Wire(Bool())
198  val s1_can_go = s2_ready
199  val s1_fire   = s1_valid && !s1_kill && s1_can_go
200  val s1_vecActive    = RegEnable(s0_out.vecActive, true.B, s0_fire)
201
202  // mmio cbo decoder
203  val s1_mmio_cbo  = s1_in.uop.fuOpType === LSUOpType.cbo_clean ||
204                     s1_in.uop.fuOpType === LSUOpType.cbo_flush ||
205                     s1_in.uop.fuOpType === LSUOpType.cbo_inval
206  val s1_paddr     = io.tlb.resp.bits.paddr(0)
207  val s1_tlb_miss  = io.tlb.resp.bits.miss
208  val s1_mmio      = s1_mmio_cbo
209  val s1_exception = ExceptionNO.selectByFu(s1_out.uop.exceptionVec, StaCfg).asUInt.orR
210  val s1_isvec     = RegEnable(s0_out.isvec, false.B, s0_fire)
211  // val s1_isLastElem = RegEnable(s0_isLastElem, false.B, s0_fire)
212  s1_kill := s1_in.uop.robIdx.needFlush(io.redirect) || (s1_tlb_miss && !s1_isvec)
213
214  s1_ready := !s1_valid || s1_kill || s2_ready
215  io.tlb.resp.ready := true.B // TODO: why dtlbResp needs a ready?
216  when (s0_fire) { s1_valid := true.B }
217  .elsewhen (s1_fire) { s1_valid := false.B }
218  .elsewhen (s1_kill) { s1_valid := false.B }
219
220  // st-ld violation dectect request.
221  io.stld_nuke_query.valid       := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch
222  io.stld_nuke_query.bits.robIdx := s1_in.uop.robIdx
223  io.stld_nuke_query.bits.paddr  := s1_paddr
224  io.stld_nuke_query.bits.mask   := s1_in.mask
225
226  // issue
227  io.issue.valid := s1_valid && !s1_tlb_miss && !s1_in.isHWPrefetch && !s1_isvec
228  io.issue.bits  := RegEnable(s0_stin, s0_valid)
229
230
231  // Send TLB feedback to store issue queue
232  // Store feedback is generated in store_s1, sent to RS in store_s2
233  val s1_feedback = Wire(Valid(new RSFeedback))
234  s1_feedback.valid                 := s1_valid & !s1_in.isHWPrefetch
235  s1_feedback.bits.hit              := !s1_tlb_miss
236  s1_feedback.bits.flushState       := io.tlb.resp.bits.ptwBack
237  s1_feedback.bits.robIdx           := s1_out.uop.robIdx
238  s1_feedback.bits.sourceType       := RSFeedbackType.tlbMiss
239  s1_feedback.bits.dataInvalidSqIdx := DontCare
240
241  XSDebug(s1_feedback.valid,
242    "S1 Store: tlbHit: %d robIdx: %d\n",
243    s1_feedback.bits.hit,
244    s1_feedback.bits.robIdx.value
245  )
246
247  // io.feedback_slow := s1_feedback
248
249  // get paddr from dtlb, check if rollback is needed
250  // writeback store inst to lsq
251  s1_out         := s1_in
252  s1_out.paddr   := s1_paddr
253  s1_out.miss    := false.B
254  s1_out.mmio    := s1_mmio
255  s1_out.tlbMiss := s1_tlb_miss
256  s1_out.atomic  := s1_mmio
257  s1_out.uop.exceptionVec(storePageFault)   := io.tlb.resp.bits.excp(0).pf.st && s1_vecActive
258  s1_out.uop.exceptionVec(storeAccessFault) := io.tlb.resp.bits.excp(0).af.st && s1_vecActive
259
260  // scalar store and scalar load nuke check, and also other purposes
261  io.lsq.valid     := s1_valid && !s1_in.isHWPrefetch
262  io.lsq.bits      := s1_out
263  io.lsq.bits.miss := s1_tlb_miss
264
265  // kill dcache write intent request when tlb miss or exception
266  io.dcache.s1_kill  := (s1_tlb_miss || s1_exception || s1_mmio || s1_in.uop.robIdx.needFlush(io.redirect))
267  io.dcache.s1_paddr := s1_paddr
268
269  // write below io.out.bits assign sentence to prevent overwriting values
270  val s1_tlb_memidx = io.tlb.resp.bits.memidx
271  when(s1_tlb_memidx.is_st && io.tlb.resp.valid && !s1_tlb_miss && s1_tlb_memidx.idx === s1_out.uop.sqIdx.value) {
272    // printf("Store idx = %d\n", s1_tlb_memidx.idx)
273    s1_out.uop.debugInfo.tlbRespTime := GTimer()
274  }
275
276  // Pipeline
277  // --------------------------------------------------------------------------------
278  // stage 2
279  // --------------------------------------------------------------------------------
280  // mmio check
281  val s2_valid  = RegInit(false.B)
282  val s2_in     = RegEnable(s1_out, s1_fire)
283  val s2_out    = Wire(new LsPipelineBundle)
284  val s2_kill   = Wire(Bool())
285  val s2_can_go = s3_ready
286  val s2_fire   = s2_valid && !s2_kill && s2_can_go
287  val s2_vecActive    = RegEnable(s1_out.vecActive, true.B, s1_fire)
288
289  s2_ready := !s2_valid || s2_kill || s3_ready
290  when (s1_fire) { s2_valid := true.B }
291  .elsewhen (s2_fire) { s2_valid := false.B }
292  .elsewhen (s2_kill) { s2_valid := false.B }
293
294  val s2_pmp = WireInit(io.pmp)
295
296  val s2_exception = (ExceptionNO.selectByFu(s2_out.uop.exceptionVec, StaCfg).asUInt.orR) && RegNext(s1_feedback.bits.hit)
297  val s2_mmio = (s2_in.mmio || s2_pmp.mmio) && RegNext(s1_feedback.bits.hit)
298  s2_kill := ((s2_mmio && !s2_exception) && !s2_in.isvec) || s2_in.uop.robIdx.needFlush(io.redirect)
299
300  s2_out        := s2_in
301  s2_out.mmio   := s2_mmio && !s2_exception
302  s2_out.atomic := s2_in.atomic || s2_pmp.atomic
303  s2_out.uop.exceptionVec(storeAccessFault) := (s2_in.uop.exceptionVec(storeAccessFault) || s2_pmp.st) && s2_vecActive
304
305  // kill dcache write intent request when mmio or exception
306  io.dcache.s2_kill := (s2_mmio || s2_exception || s2_in.uop.robIdx.needFlush(io.redirect))
307  io.dcache.s2_pc   := s2_out.uop.pc
308  // TODO: dcache resp
309  io.dcache.resp.ready := true.B
310
311  // feedback tlb miss to RS in store_s2
312  io.feedback_slow.valid := RegNext(s1_feedback.valid && !s1_out.uop.robIdx.needFlush(io.redirect)) && !RegNext(s1_out.isvec)
313  io.feedback_slow.bits  := RegNext(s1_feedback.bits)
314
315  val s2_vecFeedback = RegNext(!s1_out.uop.robIdx.needFlush(io.redirect) && s1_feedback.bits.hit) && s2_in.isvec
316
317  // mmio and exception
318  io.lsq_replenish := s2_out
319
320  // prefetch related
321  io.lsq_replenish.miss := io.dcache.resp.fire && io.dcache.resp.bits.miss // miss info
322
323  // RegNext prefetch train for better timing
324  // ** Now, prefetch train is valid at store s3 **
325  io.prefetch_train.bits.fromLsPipelineBundle(s2_in, latch = true)
326  // override miss bit
327  io.prefetch_train.bits.miss := RegNext(io.dcache.resp.bits.miss)
328  // TODO: add prefetch and access bit
329  io.prefetch_train.bits.meta_prefetch := false.B
330  io.prefetch_train.bits.meta_access := false.B
331  if(EnableStorePrefetchSMS) {
332    io.prefetch_train.valid := RegNext(s2_valid && io.dcache.resp.fire && !s2_out.mmio && !s2_in.tlbMiss && !s2_in.isHWPrefetch)
333  }else {
334    io.prefetch_train.valid := false.B
335  }
336
337  // Pipeline
338  // --------------------------------------------------------------------------------
339  // stage 3
340  // --------------------------------------------------------------------------------
341  // store write back
342  val s3_valid  = RegInit(false.B)
343  val s3_in     = RegEnable(s2_out, s2_fire)
344  val s3_out    = Wire(new MemExuOutput(isVector = true))
345  val s3_kill   = s3_in.uop.robIdx.needFlush(io.redirect)
346  val s3_can_go = s3_ready
347  val s3_fire   = s3_valid && !s3_kill && s3_can_go
348  val s3_vecFeedback = RegEnable(s2_vecFeedback, s2_fire)
349
350  when (s2_fire) { s3_valid := (!s2_mmio || s2_exception) && !s2_out.isHWPrefetch  }
351  .elsewhen (s3_fire) { s3_valid := false.B }
352  .elsewhen (s3_kill) { s3_valid := false.B }
353
354  // wb: writeback
355  val SelectGroupSize   = RollbackGroupSize
356  val lgSelectGroupSize = log2Ceil(SelectGroupSize)
357  val TotalSelectCycles = scala.math.ceil(log2Ceil(LoadQueueRAWSize).toFloat / lgSelectGroupSize).toInt + 1
358
359  s3_out                 := DontCare
360  s3_out.uop             := s3_in.uop
361  s3_out.data            := DontCare
362  s3_out.debug.isMMIO    := s3_in.mmio
363  s3_out.debug.paddr     := s3_in.paddr
364  s3_out.debug.vaddr     := s3_in.vaddr
365  s3_out.debug.isPerfCnt := false.B
366
367  // Pipeline
368  // --------------------------------------------------------------------------------
369  // stage x
370  // --------------------------------------------------------------------------------
371  // delay TotalSelectCycles - 2 cycle(s)
372  val TotalDelayCycles = TotalSelectCycles - 2
373  val sx_valid = Wire(Vec(TotalDelayCycles + 1, Bool()))
374  val sx_ready = Wire(Vec(TotalDelayCycles + 1, Bool()))
375  val sx_in    = Wire(Vec(TotalDelayCycles + 1, new VecMemExuOutput(isVector = true)))
376
377  // backward ready signal
378  s3_ready := sx_ready.head
379  for (i <- 0 until TotalDelayCycles + 1) {
380    if (i == 0) {
381      sx_valid(i)          := s3_valid
382      sx_in(i).output      := s3_out
383      sx_in(i).vecFeedback := s3_vecFeedback
384      sx_in(i).mmio        := s3_in.mmio
385      sx_in(i).usSecondInv := s3_in.usSecondInv
386      sx_in(i).elemIdx     := s3_in.elemIdx
387      sx_in(i).alignedType := s3_in.alignedType
388      sx_in(i).mbIndex     := s3_in.mbIndex
389      sx_in(i).mask        := s3_in.mask
390      sx_ready(i) := !s3_valid(i) || sx_in(i).output.uop.robIdx.needFlush(io.redirect) || (if (TotalDelayCycles == 0) io.stout.ready else sx_ready(i+1))
391    } else {
392      val cur_kill   = sx_in(i).output.uop.robIdx.needFlush(io.redirect)
393      val cur_can_go = (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
394      val cur_fire   = sx_valid(i) && !cur_kill && cur_can_go
395      val prev_fire  = sx_valid(i-1) && !sx_in(i-1).output.uop.robIdx.needFlush(io.redirect) && sx_ready(i)
396
397      sx_ready(i) := !sx_valid(i) || cur_kill || (if (i == TotalDelayCycles) io.stout.ready else sx_ready(i+1))
398      val sx_valid_can_go = prev_fire || cur_fire || cur_kill
399      sx_valid(i) := RegEnable(Mux(prev_fire, true.B, false.B), false.B, sx_valid_can_go)
400      sx_in(i) := RegEnable(sx_in(i-1), prev_fire)
401    }
402  }
403  val sx_last_valid = sx_valid.takeRight(1).head
404  val sx_last_ready = sx_ready.takeRight(1).head
405  val sx_last_in    = sx_in.takeRight(1).head
406  sx_last_ready := !sx_last_valid || sx_last_in.output.uop.robIdx.needFlush(io.redirect) || io.stout.ready
407
408  io.stout.valid := sx_last_valid && !sx_last_in.output.uop.robIdx.needFlush(io.redirect) && isStore(sx_last_in.output.uop.fuType)
409  io.stout.bits := sx_last_in.output
410
411  io.vecstout.valid := sx_last_valid && !sx_last_in.output.uop.robIdx.needFlush(io.redirect) && isVStore(sx_last_in.output.uop.fuType)
412  // TODO: implement it!
413  io.vecstout.bits.mBIndex := sx_last_in.mbIndex
414  io.vecstout.bits.hit := sx_last_in.vecFeedback
415  io.vecstout.bits.isvec := true.B
416  io.vecstout.bits.sourceType := RSFeedbackType.tlbMiss
417  io.vecstout.bits.flushState := DontCare
418  io.vecstout.bits.mmio := sx_last_in.mmio
419  io.vecstout.bits.exceptionVec := sx_last_in.output.uop.exceptionVec
420  io.vecstout.bits.usSecondInv := sx_last_in.usSecondInv
421  io.vecstout.bits.vecFeedback := sx_last_in.vecFeedback
422  io.vecstout.bits.elemIdx     := sx_last_in.elemIdx
423  io.vecstout.bits.alignedType := sx_last_in.alignedType
424  io.vecstout.bits.mask        := sx_last_in.mask
425  // io.vecstout.bits.reg_offset.map(_ := DontCare)
426  // io.vecstout.bits.elemIdx.map(_ := sx_last_in.elemIdx)
427  // io.vecstout.bits.elemIdxInsideVd.map(_ := DontCare)
428  // io.vecstout.bits.vecdata.map(_ := DontCare)
429  // io.vecstout.bits.mask.map(_ := DontCare)
430  // io.vecstout.bits.alignedType.map(_ := sx_last_in.alignedType)
431
432  io.debug_ls := DontCare
433  io.debug_ls.s1.isTlbFirstMiss := io.tlb.resp.valid && io.tlb.resp.bits.miss && io.tlb.resp.bits.debug.isFirstIssue && !s1_in.isHWPrefetch
434  io.debug_ls.s1_robIdx := s1_in.uop.robIdx.value
435
436  private def printPipeLine(pipeline: LsPipelineBundle, cond: Bool, name: String): Unit = {
437    XSDebug(cond,
438      p"$name" + p" pc ${Hexadecimal(pipeline.uop.pc)} " +
439        p"addr ${Hexadecimal(pipeline.vaddr)} -> ${Hexadecimal(pipeline.paddr)} " +
440        p"op ${Binary(pipeline.uop.fuOpType)} " +
441        p"data ${Hexadecimal(pipeline.data)} " +
442        p"mask ${Hexadecimal(pipeline.mask)}\n"
443    )
444  }
445
446  printPipeLine(s0_out, s0_valid, "S0")
447  printPipeLine(s1_out, s1_valid, "S1")
448
449  // perf cnt
450  XSPerfAccumulate("s0_in_valid",                s0_valid)
451  XSPerfAccumulate("s0_in_fire",                 s0_fire)
452  XSPerfAccumulate("s0_vecin_fire",              s0_fire && s0_use_flow_vec)
453  XSPerfAccumulate("s0_in_fire_first_issue",     s0_fire && s0_isFirstIssue)
454  XSPerfAccumulate("s0_addr_spec_success",       s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12))
455  XSPerfAccumulate("s0_addr_spec_failed",        s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12))
456  XSPerfAccumulate("s0_addr_spec_success_once",  s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) === s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
457  XSPerfAccumulate("s0_addr_spec_failed_once",   s0_fire && !s0_use_flow_vec && s0_saddr(VAddrBits-1, 12) =/= s0_stin.src(0)(VAddrBits-1, 12) && s0_isFirstIssue)
458
459  XSPerfAccumulate("s1_in_valid",                s1_valid)
460  XSPerfAccumulate("s1_in_fire",                 s1_fire)
461  XSPerfAccumulate("s1_in_fire_first_issue",     s1_fire && s1_in.isFirstIssue)
462  XSPerfAccumulate("s1_tlb_miss",                s1_fire && s1_tlb_miss)
463  XSPerfAccumulate("s1_tlb_miss_first_issue",    s1_fire && s1_tlb_miss && s1_in.isFirstIssue)
464  // end
465}