xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/BypassNetwork.scala (revision 0ed0e482b4d85da1fe7dcd24705d25559036f63f)
1package xiangshan.backend.datapath
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import utility.{GatedValidRegNext, SignExt, ZeroExt}
7import xiangshan.{JumpOpType, SelImm, XSBundle, XSModule}
8import xiangshan.backend.BackendParams
9import xiangshan.backend.Bundles.{ExuBypassBundle, ExuInput, ExuOutput, ExuVec, ImmInfo}
10import xiangshan.backend.issue.{FpScheduler, ImmExtractor, IntScheduler, MemScheduler, VfScheduler}
11import xiangshan.backend.datapath.DataConfig.RegDataMaxWidth
12import xiangshan.backend.decode.ImmUnion
13import xiangshan.backend.regcache._
14import xiangshan.backend.Bundles._
15import xiangshan.backend.fu.FuType
16
17class BypassNetworkIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
18  // params
19  private val intSchdParams = params.schdParams(IntScheduler())
20  private val fpSchdParams = params.schdParams(FpScheduler())
21  private val vfSchdParams = params.schdParams(VfScheduler())
22  private val memSchdParams = params.schdParams(MemScheduler())
23
24  val fromDataPath = new FromDataPath
25  val toExus = new ToExus
26  val fromExus = new FromExus
27
28  class FromDataPath extends Bundle {
29    val int: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = Flipped(intSchdParams.genExuInputBundle)
30    val fp : MixedVec[MixedVec[DecoupledIO[ExuInput]]] = Flipped(fpSchdParams.genExuInputBundle)
31    val vf : MixedVec[MixedVec[DecoupledIO[ExuInput]]] = Flipped(vfSchdParams.genExuInputBundle)
32    val mem: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = Flipped(memSchdParams.genExuInputBundle)
33    val immInfo: Vec[ImmInfo] = Input(Vec(params.allExuParams.size, new ImmInfo))
34    val rcData: MixedVec[MixedVec[Vec[UInt]]] = MixedVec(
35      Seq(intSchdParams, fpSchdParams, vfSchdParams, memSchdParams).map(schd => schd.issueBlockParams.map(iq =>
36        MixedVec(iq.exuBlockParams.map(exu => Input(Vec(exu.numRegSrc, UInt(exu.srcDataBitsMax.W)))))
37      )).flatten
38    )
39  }
40
41  class ToExus extends Bundle {
42    val int: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputCopySrcBundle
43    val fp : MixedVec[MixedVec[DecoupledIO[ExuInput]]] = fpSchdParams.genExuInputCopySrcBundle
44    val vf : MixedVec[MixedVec[DecoupledIO[ExuInput]]] = vfSchdParams.genExuInputCopySrcBundle
45    val mem: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputCopySrcBundle
46  }
47
48  class FromExus extends Bundle {
49    val int: MixedVec[MixedVec[ValidIO[ExuBypassBundle]]] = Flipped(intSchdParams.genExuBypassValidBundle)
50    val fp : MixedVec[MixedVec[ValidIO[ExuBypassBundle]]] = Flipped(fpSchdParams.genExuBypassValidBundle)
51    val vf : MixedVec[MixedVec[ValidIO[ExuBypassBundle]]] = Flipped(vfSchdParams.genExuBypassValidBundle)
52    val mem: MixedVec[MixedVec[ValidIO[ExuBypassBundle]]] = Flipped(memSchdParams.genExuBypassValidBundle)
53
54    def connectExuOutput(
55      getSinkVecN: FromExus => MixedVec[MixedVec[ValidIO[ExuBypassBundle]]]
56    )(
57      sourceVecN: MixedVec[MixedVec[DecoupledIO[ExuOutput]]]
58    ): Unit = {
59      getSinkVecN(this).zip(sourceVecN).foreach { case (sinkVec, sourcesVec) =>
60        sinkVec.zip(sourcesVec).foreach { case (sink, source) =>
61          sink.valid := source.valid
62          sink.bits.intWen := source.bits.intWen.getOrElse(false.B)
63          sink.bits.pdest := source.bits.pdest
64          sink.bits.data := source.bits.data(0)
65        }
66      }
67    }
68  }
69
70  val toDataPath: Vec[RCWritePort] = Vec(params.getIntExuRCWriteSize + params.getMemExuRCWriteSize,
71    Flipped(new RCWritePort(params.intSchdParams.get.rfDataWidth, RegCacheIdxWidth, params.intSchdParams.get.pregIdxWidth, params.debugEn)))
72}
73
74class BypassNetwork()(implicit p: Parameters, params: BackendParams) extends XSModule {
75  val io: BypassNetworkIO = IO(new BypassNetworkIO)
76
77  private val fromDPs: Seq[DecoupledIO[ExuInput]] = (io.fromDataPath.int ++ io.fromDataPath.fp ++ io.fromDataPath.vf ++ io.fromDataPath.mem).flatten.toSeq
78  private val fromExus: Seq[ValidIO[ExuBypassBundle]] = (io.fromExus.int ++ io.fromExus.fp ++ io.fromExus.vf ++ io.fromExus.mem).flatten.toSeq
79  private val toExus: Seq[DecoupledIO[ExuInput]] = (io.toExus.int ++ io.toExus.fp ++ io.toExus.vf ++ io.toExus.mem).flatten.toSeq
80  private val fromDPsRCData: Seq[Vec[UInt]] = io.fromDataPath.rcData.flatten.toSeq
81  private val immInfo = io.fromDataPath.immInfo
82
83  println(s"[BypassNetwork] RCData num: ${fromDPsRCData.size}")
84
85  // (exuIdx, srcIdx, bypassExuIdx)
86  private val forwardOrBypassValidVec3: MixedVec[Vec[Vec[Bool]]] = MixedVecInit(
87    fromDPs.map { (x: DecoupledIO[ExuInput]) =>
88      println(s"[BypassNetwork] ${x.bits.params.name} numRegSrc: ${x.bits.params.numRegSrc}")
89      VecInit(x.bits.exuSources.map(_.map(_.toExuOH(x.bits.params))).getOrElse(
90        // TODO: remove tmp max 1 for fake HYU1
91        VecInit(Seq.fill(x.bits.params.numRegSrc max 1)(VecInit(0.U(params.numExu.W).asBools)))
92      ))
93    }
94  )
95
96  private val forwardDataVec: Vec[UInt] = VecInit(
97    fromExus.map(x => ZeroExt(x.bits.data, RegDataMaxWidth))
98  )
99
100  private val bypassDataVec = VecInit(
101    fromExus.map(x => ZeroExt(RegEnable(x.bits.data, x.valid), RegDataMaxWidth))
102  )
103
104  private val intExuNum = params.intSchdParams.get.numExu
105  private val fpExuNum  = params.fpSchdParams.get.numExu
106  private val vfExuNum  = params.vfSchdParams.get.numExu
107  private val memExuNum = params.memSchdParams.get.numExu
108
109  println(s"[BypassNetwork] allExuNum: ${toExus.size} intExuNum: ${intExuNum} fpExuNum: ${fpExuNum} vfExuNum: ${vfExuNum} memExuNum: ${memExuNum}")
110
111  private val fromDPsHasBypass2Source = fromDPs.filter(x => x.bits.params.isIQWakeUpSource && x.bits.params.writeVfRf && (x.bits.params.isVfExeUnit || x.bits.params.hasLoadExu)).map(_.bits.params.exuIdx)
112  private val fromDPsHasBypass2Sink   = fromDPs.filter(x => x.bits.params.isIQWakeUpSink && x.bits.params.readVfRf && (x.bits.params.isVfExeUnit || x.bits.params.isMemExeUnit)).map(_.bits.params.exuIdx)
113
114  private val bypass2ValidVec3 = MixedVecInit(
115    fromDPsHasBypass2Sink.map(forwardOrBypassValidVec3(_)).map(exu => VecInit(exu.map(exuOH =>
116      VecInit(fromDPsHasBypass2Source.map(exuOH(_))).asUInt
117    )))
118  )
119  if(params.debugEn){
120    dontTouch(bypass2ValidVec3)
121  }
122  private val bypass2DateEn = VecInit(
123    fromExus.map(x => GatedValidRegNext(x.valid))
124  ).asUInt
125  private val bypass2DataVec = if (fromDPsHasBypass2Source.length == 0) VecInit(Seq(0.U)) else VecInit(
126    fromDPsHasBypass2Source.map(x => RegEnable(bypassDataVec(x), bypass2DateEn(x).asBool))
127  )
128
129  println(s"[BypassNetwork] HasBypass2SourceExuNum: ${fromDPsHasBypass2Source.size} HasBypass2SinkExuNum: ${fromDPsHasBypass2Sink.size} bypass2DataVecSize: ${bypass2DataVec.length}")
130  println(s"[BypassNetwork] HasBypass2SourceExu: ${fromDPsHasBypass2Source}")
131  println(s"[BypassNetwork] HasBypass2SinkExu: ${fromDPsHasBypass2Sink}")
132
133  toExus.zip(fromDPs).foreach { case (sink, source) =>
134    connectSamePort(sink.bits, source.bits)
135    sink.valid := source.valid
136    source.ready := sink.ready
137  }
138
139  toExus.zipWithIndex.foreach { case (exuInput, exuIdx) =>
140    exuInput.bits.src.zipWithIndex.foreach { case (src, srcIdx) =>
141      val imm = ImmExtractor(
142        immInfo(exuIdx).imm,
143        immInfo(exuIdx).immType,
144        exuInput.bits.params.destDataBitsMax,
145        exuInput.bits.params.immType.map(_.litValue)
146      )
147      val immLoadSrc0 = SignExt(ImmUnion.U.toImm32(immInfo(exuIdx).imm(immInfo(exuIdx).imm.getWidth - 1, ImmUnion.I.len)), XLEN)
148      val exuParm = exuInput.bits.params
149      val isIntScheduler = exuParm.isIntExeUnit
150      val isReadVfRf= exuParm.readVfRf
151      val dataSource = exuInput.bits.dataSources(srcIdx)
152      val isWakeUpSink = params.allIssueParams.filter(_.exuBlockParams.contains(exuParm)).head.exuBlockParams.map(_.isIQWakeUpSink).reduce(_ || _)
153      val readForward = if (isWakeUpSink) dataSource.readForward else false.B
154      val readBypass = if (isWakeUpSink) dataSource.readBypass else false.B
155      val readZero = if (isIntScheduler) dataSource.readZero else false.B
156      val readV0 = if (srcIdx < 3 && isReadVfRf) dataSource.readV0 else false.B
157      val readRegOH = exuInput.bits.dataSources(srcIdx).readRegOH
158      val readRegCache = if (exuParm.needReadRegCache) exuInput.bits.dataSources(srcIdx).readRegCache else false.B
159      val readImm = if (exuParm.immType.nonEmpty || exuParm.hasLoadExu) exuInput.bits.dataSources(srcIdx).readImm else false.B
160      val bypass2ExuIdx = fromDPsHasBypass2Sink.indexOf(exuIdx)
161      println(s"${exuParm.name}: bypass2ExuIdx is ${bypass2ExuIdx}")
162      val readBypass2 = if (bypass2ExuIdx >= 0) dataSource.readBypass2 else false.B
163      src := Mux1H(
164        Seq(
165          readForward    -> Mux1H(forwardOrBypassValidVec3(exuIdx)(srcIdx), forwardDataVec),
166          readBypass     -> Mux1H(forwardOrBypassValidVec3(exuIdx)(srcIdx), bypassDataVec),
167          readBypass2    -> (if (bypass2ExuIdx >= 0) Mux1H(bypass2ValidVec3(bypass2ExuIdx)(srcIdx), bypass2DataVec) else 0.U),
168          readZero       -> 0.U,
169          readV0         -> (if (srcIdx < 3 && isReadVfRf) exuInput.bits.src(3) else 0.U),
170          readRegOH      -> fromDPs(exuIdx).bits.src(srcIdx),
171          readRegCache   -> fromDPsRCData(exuIdx)(srcIdx),
172          readImm        -> (if (exuParm.hasLoadExu && srcIdx == 0) immLoadSrc0 else imm)
173        )
174      )
175    }
176    if (exuInput.bits.params.hasBrhFu) {
177      val immWidth = exuInput.bits.params.immType.map(x => SelImm.getImmUnion(x).len).max
178      val nextPcOffset = exuInput.bits.ftqOffset.get +& Mux(exuInput.bits.preDecode.get.isRVC, 1.U, 2.U)
179      val imm = ImmExtractor(
180        immInfo(exuIdx).imm,
181        immInfo(exuIdx).immType,
182        exuInput.bits.params.destDataBitsMax,
183        exuInput.bits.params.immType.map(_.litValue)
184      )
185      val isJALR = FuType.isJump(exuInput.bits.fuType) && JumpOpType.jumpOpisJalr(exuInput.bits.fuOpType)
186      val immBJU = imm + Mux(isJALR, 0.U, (exuInput.bits.ftqOffset.getOrElse(0.U) << instOffsetBits).asUInt)
187      exuInput.bits.imm := immBJU
188      exuInput.bits.nextPcOffset.get := nextPcOffset
189    }
190    exuInput.bits.copySrc.get.map( copysrc =>
191      copysrc.zip(exuInput.bits.src).foreach{ case(copy, src) => copy := src}
192    )
193  }
194
195  // to reg cache
196  private val forwardIntWenVec = VecInit(
197    fromExus.filter(_.bits.params.needWriteRegCache).map(x => x.valid && x.bits.intWen)
198  )
199  private val forwardTagVec = VecInit(
200    fromExus.filter(_.bits.params.needWriteRegCache).map(x => x.bits.pdest)
201  )
202
203  private val bypassIntWenVec = VecInit(
204    forwardIntWenVec.map(x => GatedValidRegNext(x))
205  )
206  private val bypassTagVec = VecInit(
207    forwardTagVec.zip(forwardIntWenVec).map(x => RegEnable(x._1, x._2))
208  )
209  private val bypassRCDataVec = VecInit(
210    fromExus.zip(bypassDataVec).filter(_._1.bits.params.needWriteRegCache).map(_._2)
211  )
212
213  println(s"[BypassNetwork] WriteRegCacheExuNum: ${forwardIntWenVec.size}")
214
215  io.toDataPath.zipWithIndex.foreach{ case (x, i) =>
216    x.wen := bypassIntWenVec(i)
217    x.addr := DontCare
218    x.data := bypassRCDataVec(i)
219    x.tag.foreach(_ := bypassTagVec(i))
220  }
221}
222